推荐-基于CADENCE的二选一数据选择器设计 精品
- 格式:docx
- 大小:528.32 KB
- 文档页数:4
EDA实验报告学生姓名:asfmla;m 学号:eafvpa[cv专业班级:电子3班组合电路设计一、实验目的熟悉quartusⅡ的VHDL文本设计全过程,学习简单组合电路的设计、多层次电路设计、仿真。
二、实验内容实验内容:首先利用quartusⅡ完成2选1多路选择器(例4-3的文本编译输入(mux21a.vhd和仿真测试等步骤,最后在实验系统上硬件测试,验证此设计的功能。
将此多路选择器看成一个元件mux21a,利用元件例化语句描述成三选一,然后进行编译、综合、仿真。
引脚锁定以及硬件下载测试。
建议选实验电路模式5,用键1(PIO0控制s0;用键2(PIO1控制s1;a3、a2和a1分别接clock5、clock0和clock2;输出信号outy仍接扬声器spker。
通过短路帽选择clock0接256HZ信号,clock5接1024HZ信号,clock2接8HZ信号。
最后选行编译、下载和硬件测试实验。
三、实验器材Quartus II软件。
四、设计思路/原理图五、实验程序实验内容1:二选一:library ieee;use ieee.std_logic_1164.all; entity mux21a isport(a,b: in std_logic;s: in std_logic;y: out std_logic;end entity;architecture dataflow of mux21a is beginy<=a when s='0' else b;end architecture;实验内容2:三选一library ieee;use ieee.std_logic_1164.all; entity mux31a isport(a1,a2,a3: in std_logic;s0,s1: in std_logic;outy: out std_logic;end entity mux31a;architecture m31a of mux31a iscomponent mux21aport( a,b: in std_logic;s: in std_logic;y: out std_logic;end component;signal tmp: std_logic;beginu1: mux21a port map(a=>a2,b=>a3,s=>s0,y=>tmp;u2: mux21a port map(a=>a1,b=>tmp,s=>s1,y=>outy;end architecture;六、实验步骤在E盘新建一个文件夹,用于存放工程。
实验一二选一数据选择器VHDL设计Quartus II 6.0开发环境与EDA实验箱使用一实验目的1.熟悉在Quartus II 6.0环境下原理图输入方法。
2.熟悉Quartus II 6.0环境下编辑、编译综合、仿真的操作方法。
3、掌握利用EDA软件进行电路设计的详细流程;4、熟悉EDA实验箱的基本使用方法。
学会对实验板上的FPGA/CPLD进行编程下载,硬件验证自己的设计项目。
二实验仪器PC机、Quartus II 6.0软件三实验内容1.详细解读教材117页。
2.在QuartusⅡ上输入该设计的原理图,并进行编辑、编译、综合、适配、仿真。
3.给出其所有信号的时序仿真波形。
四实验原理及步骤1.启动Quartus II 6.0软件在桌面上双击Quartus II 6.0图标或者在开始—>所有程序—>Altera—> Quartus II 6.0,如下图2.建立工作库文件夹及工程任何一次设计都是一项工程(Project),所有此工程相关的所有设计文件都需要放在同一个文件夹里。
不同的设计放在不同的文件夹中。
在E盘下建立一个存放本次设计的工程文件夹,比如“shiyan1”。
注意不要使用中文文件夹,文件夹的存放路径也不要包含中文。
注意本实验室计算机C盘和D盘是重启后复原,不要将任何文件和文件夹放置在桌面或者C、D盘下。
初次打开Quartus II 6.0,会有如图提示:选择是的情况下,首先是新工程向导:介绍下一步下一步下一步,选择目标芯片,首先在Family栏选择ACEX1K系列,然后选择此系列的具体芯片:EP1K30TC144-3。
注意不要选成了EP1K30TC144-3。
下一步就点完成。
3.建立文本程序文件选择File菜单下的New或者直接点击工具栏中的新建图标,选择新建文件类型为VHDL File。
接下来另存文件:保存时需更改文件名与项目名称一样,注意保存在同一个工程文件夹下面。
二选一数据选择器(基于与非门)1电路图(W/L=0.55μm /0.25μm)
版图:
第一步:引入单元图例(NMOS和PMOS)
第二步:各元件之间的连线
第三步:设置电源端,接地端以及输入断和输出端:
第四步:LVS检查原理图与版图的电气特性结果为
实验二二选一数据选择器(基于传输门)2电路图
实验二二选一数据选择器(基于传输门)2电路图
版图:
第一步:引入单元图例:
第二步,各元件的连接:
第三步,设置电源端,接地端以及输入断和输出端:
第四步:LVS检查原理图与版图的电气特性结果为
(注:范文素材和资料部分来自网络,供参考。
请预览后才下载,期待你的好评与关注。
)。
《VLSI设计基础》课程设计报告班级:电子科学与技术09级2班学号: 0906040216姓名:穆加林指导教师:李蕾1.摘要在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开关。
有2选1数据选择器、4选1数据选择器、8选1数据选择器、16选1数据选择器等之分二路选择器具有一个选择控制变量,当用来实现二变量函数功能时,应该首先输入选择控制变量来确定选择器的数据输入。
根据题目设计要求,完成二选一多路选择器设计。
我设计的是一个基于VHDL语言设计一个二选一多路选择器。
2.综述二选一多路选择器功能描述:输入端口a,b输入信号,在通道选择控制输入端口s输入低电频时,输出端口y输出a输入端口信号;在通道选择控制输入端口s输入高电频时,输出端口y输出b输入端口信号。
二选一多路选择器的电路图,如下图其中a,b是输入信号,s是通道选择信号,y是输出信号。
当s=0时,y=a;当s=1时,y=b。
三.方案设计与分析1.设计目的1)熟悉MAXPLUS2/Quartus II软件,掌握软件的VHDL程序输入、程序编译和程序仿真操作;2)学习利用VHDL语言设计二选一多路选择器电路程序;3)了解可多路选择器的工作方式。
2.设计要求采用Modelsim、Quartus II、Altium designer 中的任一种软件,基于Verilog或VHDL语言实现设计题目的功能仿真,完成设计;课程设计完成后,提交课程设计报告,要求提供编写的代码以及输出波形图3.设计的源程序及仿真1)、二选一多路选择器程序录入:LIBRARY IEEE; --IEEE 库使用说明USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux21 IS --器件mux21 的外部接口信号说明--PORT相当于器件的引脚,这一部分称为实体PORT ( a b : IN STD_LOGIC;s : IN STD_LOGIC;y : OUT STD_LOGIC );END ENTITY mux21; --器件mux21 的内部工作逻辑描述即--为实体描述的器件功能结构称为结构体ARCHITECTURE one OF mux21 ISBEGINy <= a WHEN s = '0' ELSEb WHEN s = '1' ;END ARCHITECTURE one;--器件mux21 的内部工作逻辑描述即--为实体描述的器件功能结构称为结构体ARCHITECTURE one OF mux21 ISBEGINy <= a WHEN s = '0' ELSEb WHEN s = '1' ;END ARCHITECTURE one;2)、系统设计仿真及分析a.系统功能仿真结果:图为二选一多路选择器功能仿真图b.仿真分析由上图可以看出1)当sel=0时,y=a,即当s=0时输出波形就是a输入端的输入波形。
当代数字集成电路设计报告题目:CMOS加法器的设计学院:电子工程学院年级:2013级专业:集成电路工程*名:***学号:*******指导教师:**2014 年 1 月 2 日CMOS加法器的设计前言加法器是产生数的和的装置。
加数和被加数为输入,和数与进位为输出的装置为半加器。
若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。
常用作计算机算术逻辑部件,执行逻辑操作、移位与指令调用。
在电子学中,加法器是一种数位电路,其可进行数字的加法计算。
在现代的电脑中,加法器存在于算术逻辑单元(ALU)之中。
加法器可以用来表示各种数值,如:BCD、加三码,主要的加法器是以二进制作运算。
由于负数可用二的补数来表示,所以加减器也就不那么必要。
以单位元的加法器来说,有两种基本的类型:半加器和全加器,半加器有两个输入和两个输出,输入可以标识为A、B 或X、Y,输出通常标识为合S 和进制C。
A 和 B 经XOR 运算后即为S,经AND 运算后即为C。
全加器引入了进制值的输入,以计算较大的数。
为区分全加器的两个进制线,在输入端的记作Ci 或Cin,在输出端的则记作Co 或Cout。
半加器简写为H.A.,全加器简写为 F.A.。
半加器:半加器的电路图半加器有两个二进制的输入,其将输入的值相加,并输出结果到和(Sum)和进制(Carry)。
半加器虽能产生进制值,但半加器本身并不能处理进制值。
全加器:全加器三个二进制的输入,其中一个是进制值的输入,所以全加器可以处理进制值。
全加器可以用两个半加器组合而成。
一、设计要求本次设计要求实现一个加法器,通过从前端到后端的设计过程,了解数字集成电路设计流程,基本单元选用复杂cmos电路实现的一位全加器,采用pmos 与nmos网络完全对偶的mirror型。
图1位加法器级联图如图1所示,四个1位加法器级联成一个4位加法器的级联图。
这种电路的好处是将每前一级的Cin与后一级的Cout直接级联,连接比较方便,电路比较好设计。
2选1数据选择器的VHDL描述ENTITY mux21a ISPORT( a, b : IN BIT ;s : IN BIT;y : OUT BIT ) ;END ENTITY mux21a ;ARCHITECTURE one OF mux21a ISBEGINy <= a WHEN s = '0'ELSE b ;END ARCHITECTURE one ;译码器的设计architecture dec_behave of e1 issignal sel : std_logic_vector( 0 to 3) ;beginsel(0) <= en ; sel(1) <= a(0) ; sel(2) <= a(1) ; sel(3) <= a(2) ; with sel selecty <= "00000001" when "1000","00000010" when "1001","00000100" when "1010","00001000" when "1011","00010000" when "1100","00100000" when "1101","01000000" when "1110","10000000" when "1111","00000000" when others ;end dec_behave ;8-3优先编码器library IEEE; ……;entity encoder83 isport (ind: in std_logic_vector(7 downto 0);outd: out std_logic_vector(2 downto 0)); end ;architecture behave of encoder83 isbeginprocess (ind)beginif ind (7) = ‘1' then outd<= "111";elsif ind (6) = ‘1' then outd<= "110";elsif ind (5) = ‘1' then outd<= "101";elsif ind (4) = ‘1' then outd<= "100";elsif ind (3 )= ‘1' then outd<= "011";elsif ind (2) = ‘1' then outd<= "010";elsif ind (1) = ‘1' then outd<= "001";elsif ind (0) = ‘1' then outd<= "000";else outd<= "000";end if;end process; end behave;。
当代数字集成电路设计报告题目:CMOS加法器的设计学院:电子工程学院年级:2013级专业:集成电路工程*名:***学号:*******指导教师:**2014 年 1 月 2 日CMOS加法器的设计前言加法器是产生数的和的装置。
加数和被加数为输入,和数与进位为输出的装置为半加器。
若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。
常用作计算机算术逻辑部件,执行逻辑操作、移位与指令调用。
在电子学中,加法器是一种数位电路,其可进行数字的加法计算。
在现代的电脑中,加法器存在于算术逻辑单元(ALU)之中。
加法器可以用来表示各种数值,如:BCD、加三码,主要的加法器是以二进制作运算。
由于负数可用二的补数来表示,所以加减器也就不那么必要。
以单位元的加法器来说,有两种基本的类型:半加器和全加器,半加器有两个输入和两个输出,输入可以标识为A、B 或X、Y,输出通常标识为合S 和进制C。
A 和 B 经XOR 运算后即为S,经AND 运算后即为C。
全加器引入了进制值的输入,以计算较大的数。
为区分全加器的两个进制线,在输入端的记作Ci 或Cin,在输出端的则记作Co 或Cout。
半加器简写为H.A.,全加器简写为 F.A.。
半加器:半加器的电路图半加器有两个二进制的输入,其将输入的值相加,并输出结果到和(Sum)和进制(Carry)。
半加器虽能产生进制值,但半加器本身并不能处理进制值。
全加器:全加器三个二进制的输入,其中一个是进制值的输入,所以全加器可以处理进制值。
全加器可以用两个半加器组合而成。
一、设计要求本次设计要求实现一个加法器,通过从前端到后端的设计过程,了解数字集成电路设计流程,基本单元选用复杂cmos电路实现的一位全加器,采用pmos 与nmos网络完全对偶的mirror型。
图1位加法器级联图如图1所示,四个1位加法器级联成一个4位加法器的级联图。
这种电路的好处是将每前一级的Cin与后一级的Cout直接级联,连接比较方便,电路比较好设计。
二选一数据选择器目录一:数据选择器的基本原理 (3)二电路逻辑功能 (2)2.1 电路逻辑图 (2)2.2真值表与表达式 (3)2.3电路设计及仿真 (3)三版图设计 (5)3.1总体版图设计及DRC验证 (5)3.1.1数据选择器版图设计步骤 (5)3.1.2版图验证 (8)3.2版图仿真 (9)四数据选择器版图LVS对比 (10)五结论及体会 (12)一:数据选择器的基本原理数据选择器是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。
它的作用相当于多个输入的单刀多掷开关,其示意图如下:图1 n位通道选择信号数据选择器除了可以实现一些组合逻辑功能以外,还可以做分时多路传输电路、函数发生器及数码比较器等。
常见的数据选择器有4选1、8选1、16选1电路。
在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号下图所示为二选一数据选择器原理图,a,b为输入端,sel为控制端,out为输出端图1-1数据选择器原理图二电路逻辑功能2.1 电路逻辑图=+(S是数据选择控制端,S为0时选择A,为1时选S择B)Y SA SB要实现2选1选择器,逻辑电路图如下所示图2-1数据选择器逻辑电路图2.2真值表与表达式二选一数据选择器逻辑表达式为:Y SA SB =+根据逻辑表达式所列真值表如下图所示图2-2数据选择器真值表图2.3电路设计及仿真根据原理电路图并使用S-Edit 软件设计出数据选择器的电路图及对应符号图如下:S A B Y 01 1 1 1 0 1 0 1 0 0 0 0 1 1 1 1 1 0 0 0 1 1 0图2-3数据选择器符号图根据符号图并使用S-Edit软件设计出的数据选择器电路图如下所示图2-4数据选择器电路图导出的SPICE文件,如下图所示图2-5 spice文件加载包含文件,如下图所示图2-6 加载后的SPICE文件在其基础上进行仿真:下图从上到下依次为Y. S B A,结合逻辑表达式及真值表可知,电路为正确的图2-7 模拟波形仿真图三版图设计3.1总体版图设计及DRC验证3.1.1数据选择器版图设计步骤(1)新建文件夹:在电脑本地磁盘新建文件夹,文件夹名为shuju。