当前位置:文档之家› 实验1 基本门电路及数字电_

实验1 基本门电路及数字电_

实验1  基本门电路及数字电_
实验1  基本门电路及数字电_

实验一基本门电路及数字实验台的使用

一、实验目的

1、熟悉各种基本逻辑门电路的逻辑符号和逻辑功能。

2、掌握集成门电路器件的使用及逻辑功能测试方法。

3、熟悉数字电路实验箱的结构、基本功能和使用方法。

二、实验器材

DZX-3型数字电路实验台(双列直插式集成电路插座、+5V直流电源、逻辑电平开关、LED发光二极管显示器)

集成芯片

74LS00(四2输入与非门)、74LS20(双四输入与非门)、74LS86(四2输入异或门)

三、实验原理

集成逻辑门电路是最简单和最基本的数字集成元件。任何复杂的组合电路和时序电路都可用逻辑门通过适当的组合连接而成。基本逻辑运算有与、或、非运算,相应的基本逻辑门有与、或、非门。目前已有门类齐全的集成门电路,如与非门、或非门异或门等。

虽然大、中规模集成电路相继问世,但要组成某一个系统时,仍少不了各种门电路。

TTL集成电路由于工作速度快,输出幅度大,种类多,不易损坏等特点而使用较广。

CMOS集成电路功耗低,输出幅度大,扇出能力强,电源范围较宽,应用也很广泛。

四、实验内容

1、介绍熟悉数字电路实验台

2、掌握本实验所有芯片的管脚识别方法。

74LS00外引线排列图74LS20外引线排列图

74LS86外引线排列图

3、74LS00与非门逻辑功能的测试

4、74LS20与非门逻辑功能的测试

5、74LS86异或门逻辑功能的测试

6、 分析,测试用与非门74LS00组成的半加器的逻辑功能 1)逻辑表达式:

B A B A B A S ⊕=+= AB

C =

2)实验电路

用74LS00与非门组成的半加器电路图

3)实测半加器真值表

7、 分析、测试用异或门74LS86和与非门74LS00组成的半加器逻辑电路 1)实验电路与实测真值表

用74LS86异或门和与非门组成的半加器电路

0 0 0 1 1 0 1 1

S C

A B

0 0 0 1 1 0 1 1

S C

A B

A

B

1 2

3

5 6 109

8

12

13 11

S

4

五、实验注意事项

1、接插集成芯片时,要认清定位标志,不得插反。

2、今天所使用集成芯片是双列直插式14引脚芯片,其7脚接地,14脚接+5V,实验

中电源极性绝对不允许接错。

3、所有的集成芯片都必须加电源驱动。

4、逻辑门电路输出端不允许直接接地或直接接+5V电源,否则将损坏器件,这就要求

在接线,拆线或改接电路时,一定要断开电源。

5、悬空,相当于高电平(状态为1),对于比较简单的电路,实验允许悬空处理但易受

外界干扰,导致电路的逻辑功能不正常,因此,对于使用集成芯片较多的复杂电路,不允许悬空。

6、实验前请逐个检测芯片中各门电路是否正常工作,以确保用逻辑功能正常的门电路

来组成所要求的组合逻辑电路。

六、实验报告的编写要求及实验成绩

实验报告应包括以下内容:

实验报告封面(所有栏目需填写,一人一组,同组者可不填,指导老师:瞿曌,张跃勤)

实验报告内容:

(一)实验目的

(二)实验仪器列出实验中所要使用的主要仪器。

(三)实验原理

简要地用文字和公式说明其原理。原理叙述简洁清楚,原理图、线路图、公式完整、公式解析清晰。

(四)实验步骤应简明扼要地写出实验步骤、方法、流程。

(五)实验数据及其处理应用文字、表格、图形、将数据表示出来。根据实验要求及计算公式计算出分析结果并进行有关数据和误差处理,尽可能地使记录表格化。

(六)实验结论及问题讨论完成实验指导书上的思考题;对实验中的现象、产生的误差等进行讨论和分析,尽可能地结合有关理论,以提高自己的分析问题、解决问题的能力,也为以后的科学研究打下一定的基础。

实验报告格式要求

实验报告要求每个同学用学校专用的报告纸书写,字迹工整,版面整洁,符号标准、图表规范,各班课代表在下次实验课前将本班实验报告及预习报告(预习报告夹在实验报告中)按学号顺序整理好,交任课教师。

实验成绩

实验成绩为考查,考查成绩即每次实验的平均成绩

每次实验成绩==预习报告(预习分10%)+实验操作(操作分40%)+实验报告(报告分50%)

七、实验预习

实验二组合逻辑电路的设计与测试

预习:列出下列实验任务的设计过程,并根据的所给的标准器件画出实验电路。

1、设计一个四人无弃权表决电路(多数赞成则提案通过)本设计要求采用四2输入与

非门(74LS20)实现。

2、设计一个保险箱的数字代码锁,该锁有规定的4位代码A、B、C、D的输入端和一个

开锁钥匙孔信号E的输入端,锁的代码由实验者自编(例如1001)。当用钥匙开锁时(E=1),如果输入代码符合该锁设定的代码,保险箱被打开(Z1=1),如果不符,电路将发出报警信号(Z2=1)。要求用最少的与门(74LS00和74LS20)来实现,检测并记录实验结果。

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电路全部实验

数字电子技术 实验报告 实验一门电路逻辑功能及测试 (1) 实验二数据选择器与应用 (4) 实验三触发器及其应用 (8) 实验四计数器及其应用 (11) 实验五数码管显示控制电路设计 (17) 实验六交通信号控制电路 (19) 实验七汽车尾灯电路设计 (25) 班级:08030801 学号:2008301787 2008301949 姓名:纪敏于潇

实验一 门电路逻辑功能及测试 一、实验目的: 1.加深了解TTL 逻辑门电路的参数意义。 2.掌握各种TTL 门电路的逻辑功能。 3.掌握验证逻辑门电路功能的方法。 4.掌握空闲输入端的处理方法。 二、实验设备: THD —4数字电路实验箱,数字双踪示波器,函数信号发射器, 74LS00二输入端四与非门,导线若干。 三、实验步骤及内容: 1.测试门电路逻辑功能。 选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平 用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。 用74LS00实现或逻辑。用74LS00实现异或逻辑。 2.按实验要求画出逻辑图,记录实验结果。 3.实验数据与结果 将74LS00二输入端输入信号分别设为信号A 、B 用74LS00实现与逻辑 1A B A B =? 逻辑电路如下:

12 3 74LS00AN 4 5 6 74LS00AN A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下: 1、 用74LS00实现或逻辑 11A B A B A B +=?=???逻辑电路如下

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数电实验__门电路逻辑功能及测试

一、实验目的 1、熟悉门电路逻辑功能。 2、学习数字电路实验的一般程序及方法。 3、熟悉数字电路设备的使用方法。 二、实验仪器及材料 1、数字万用表 2、器件: 74LS00 二输入端四“与非”门2片 4LS20 四输入端二“与非”门1片 74LS86 二输入端四“异或”门1片 三、预习要求 1、复习门电路的工作原理及相应的逻辑表达式。 2、熟悉所用集成电路的引脚位置及各引脚用途(功能)。 四、实验内容 实验前先检查设备的电源是否正常。然后选择实验用的集成电路,按设计的实验原理图(逻辑图)接好连线,特别注意V CC及地线(GND)不能接错。线接好后经检查无误方可通电实验。实验中改动接线须断开电源,改接好线后再通电实验。 1、测试门电路逻辑功能 ⑴、选用四输入端二“与非”门芯片74LS20一片,按图1.1接线。输入端接四只电平开关(电平开关输出插口),输出端接任意一个电平显示发光二极管。 ⑵、将电平开关按表1.1置位,分别测输出电压及逻辑状态。 2、异或门逻辑功能测试 ⑴、选二输入端四“异或”门芯片74LS86一片,按图1.2接线。输入端A、B、C、D接四只电平开关,E点、F点和输出端Y分别接三只电平显示发光二极管。 ⑵、将电平开关按表1.2置位,将结果填入表中。

4、用“与非”门组成其它门电路并测试验证⑴、组成“或非”门。用一片二输入端四“与非”门芯组成一个“或非”门:Y=A+B,画出逻辑电路图,测试并填表1.5。 ⑵、组成“异或”门。 A、将“异或”门表达式转化为“与非”门表达式。 B、画出逻辑电路图。 C、测试并填表1.6。

思考题: (1)、怎样判断门电路的逻辑功能是否正常? 答:门电路功能正常与否的判断:(1)按照门电路功能,根据输入和输出,列出真值表。(2)按真值表输入电平,查看它的输出是否符合真值表。(3)所有真值表输入状态时,它的输出都是符合真值表,则门电路功能正常;否则门电路功能不正常。 (2)、“与非”门的一个输入端接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过? 答:与非门接髙电平则其他信号可以通过,接低电平则输出恒为0,与非门的真值表是“有0出1,全1出0”。所以一个输入接时钟,就是用时钟控制与非门,当时钟脉冲为高电平时,允许信号通过,为低电平时关闭与非门。 (3)、“异或”门又称可控反相门,为什么? 答:“异或”函数当有奇数个输入变量为真时,输出为真! 当输入X=0,Y=0 时输出S=0 当输入X=0,Y=1 时输出S=1 0代表假1代表真 异或门主要用在数字电路的控制中! 实验小结 由于是第一次数字电路动手试验,操作不是很熟悉,搞得有些手忙脚乱,加之仪器有一点陈旧,电路板上有些地方被烧过,实验中稍不留神接到了烧过的电路板就很难得出正确的结果。 本次试验加深了我对门电路逻辑功能的掌握,对数字电路实验的一般程序及方法有了一定的了解,对数字电路设备的使用方法也有了初步掌握。 在以后的实验中,我会好好预习,认真思考,实验的时候小心仔细,对实验结果认真推敲,勤于思考勤于动手,锻炼自己的动手能力。

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

门电路逻辑功能及测试实验报告(有数据)

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路实验箱及示波器使用方法。 二、实验仪器及器件 1、示波器; 2、实验用元器件:74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 三、实验内容及结果分析 实验前检查实验箱电源是否正常。然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc 及地线不能接错(Vcc=+5v,地线实验箱上备有)。实验中改动接线须先断开电源,接好后再 通电实验。 1、测试门电路逻辑功能 ⑴选用双四输入与非门74LS20 一只,插入面包板 (注意集成电路应摆正放平),按图1.1接线,输入端接 S1~S4(实验箱左下角的逻辑电平开关的输出插口),输 出端接实验箱上方的LED 电平指示二极管输入插口D1~ D8 中的任意一个。 ⑵将逻辑电平开关按表1.1 状态转换,测出输出逻 辑状态值及电压值填表。 表 1.1A 表1.1B 表1.1 将逻辑电平开关按表1.1A要求加入到IC的输入端,采用数字万用表直流电压档测得输入输出的电平值如表1.1B所示,转换为真值表如表1.1。 结论:根据实际测试的到的真值表,该电路完成了所设计的逻辑功能。 2、逻辑电路的逻辑关系 ⑴用 74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。

⑵ 写出两个电路的逻辑表达式。 图1.2的逻辑电路表达式 =Y A A B B B A 图1.3的逻辑电路表达式 =Y A B A A B B Z A B A B 将逻辑电平开关按表1.2A 和表1.3A 的要求分别加入到IC 的输入端,采用数字万用表直流电压档测得输入输出的电平值如表1.2B 和表1.3B 所示,转换为真值表如表1.2和表1.3。 结论:根据实际测试的到的真值表,该电路完成了所设计的逻辑功能。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 (1)高电平:

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

数字电路实验_数字显示电路

数字显示电路 ——组合电路综合设计

一.实验目的 数字显示电路实验将传统的4个分离的基本实验,即基本门实验,编码器、显示译码器、7段显示器实验,加法器实验和比较器实验综合为—个完整的设计型的组合电路综合实验。通过本实验,要求学生熟悉各种常用MSI组合逻辑电路的功能与使用方法,学会组装和调试各种MSI组合逻辑电路,掌握多片MSI、SSI组合逻辑电路的级联、功能扩展及综合设计技术,使学生具有数字系统外围电路、接口电路方面的综合设计能力。 1)掌握基本门电路的应用,了解用简单门电路实现控制逻辑的方法。 2)掌握编码、译码和显示电路的设计方法。 3)掌握用全加器、比较器电路的设计方法。 二.设计要求 操作面板左侧有16个按键,编号为0到15,面板右侧配2个共阳7段显示器,操作面板图下图所示。

设计一个电路:当按下小于10的按键后,右侧低位7段显示器显示数字,左侧7段显示器显示0;当按下大于9的按键后,右侧低位7段显示器显示个位数字,左侧7段显示器显示l。若同时按下几个按键,优先级别的顺序是15到0。现配备1个4位二进制加法器74LS283,2个8线-3线优先编码器74LSl48,2个74LS47显示译码器。 三.各模块的设计 该数字显示电路为组合逻辑电路,可分为编码、译码和显示电路以及基本门电路、全加器电路。实验采用的主要器件有1个4位二进制加法器74LS283,2个8线-3线优先编码器74LSl48,与非门74LS00,2个显示译码器74LS47。 各种芯片的功能介绍如下: 1)8—3线优先编码器74LSl48简介及工作原理:

在数字系统中,常采用多位二进制数码的组合对具有某种特定含义的信号进行编码。完成编码功能的逻辑部件称为编码器。编码器有若干个输入,对于每一个有效的输入信号,给与电平信号的形式表示的特定对象,产生惟一的一组二进制代码与之对应。 按照编码信号的特点和要求,编码器分为3类。即二进制编码器,可用与非门构成4-2线、8-3线编码器。二—十进制编码器,将0~9十进制数变成BCD 码,如74LS147、优先编码器。 74LS148是8-3线优先编码器,其外引线排列如下图所示。 7I ~0I 为 8个信号输入,低电平有效。210Y Y Y 、 、为3位代码输出(反码输出)。ST 为选通输入端,当ST =0时允许编码;当ST =1时输出210 Y Y Y 、、和EX S Y Y 、 被封锁,编码被禁止。S Y 是选通输出端,级联应用时,高位片的S Y 端与低位片的ST 端相连接,可以扩展优先编码功能。EX Y 为优先扩展输出端,级联应用时可作为输出位的扩展端。

数电逻辑门电路实验报告doc

数电逻辑门电路实验报告 篇一:组合逻辑电路实验报告 课程名称:数字电子技术基础实验指导老师:樊伟敏 实验名称:组合逻辑电路实验实验类型:设计类同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填)三、主要仪器设备(必填)五、实验数据记录和处理七、讨论、心得 一.实验目的 1.加深理解全加器和奇偶位判断电路等典型组合逻辑电路的工作原理。 2.熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3.掌握组合集成电路元件的功能检查方法。 4.掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 二、主要仪器设备 74LS00(与非门) 74LS55(与或非门) 74LS11(与门)导线电源数电综合实验箱 三、实验内容和原理及结果 四、操作方法和实验步骤 六、实验结果与分析(必填)

实验报告 (一) 一位全加器 1.1 实验原理:全加器实现一位二进制数的加法,输入有被加数、加数和来自相邻低位的进位;输出有全加和与向高位的进位。 1.2 实验内容:用 74LS00与非门和 74LS55 与或非门设计一个一位全加器电路,并进行功能测试。 1.3 设计过程:首先列出真值表,画卡诺图,然后写出全加器的逻辑函数,函数如下: Si = Ai ?Bi?Ci-1 ;Ci = Ai Bi +(Ai?Bi)C i-1 异或门可通过Ai ?Bi?AB?AB,即一个与非门; (74LS00),一个与或非门(74LS55)来实现。Ci = Ai Bi +(Ai?Bi)C 再取非,即一个非门( i-1 ?Ai Bi +(Ai?Bi)C i-1 ,通过一个与或非门Ai Bi +(Ai?Bi)C i-1 ,

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

实验一 逻辑门电路的基本参数及逻辑功能测试

实验一逻辑门电路的基本参数及逻辑功能测试 一、实验目的 1、了解TTL与非门各参数的意义。 2、掌握TTL与非门的主要参数的测试方法。 3、掌握基本逻辑门的功能及验证方法。 4、学习TTL基本门电路的实际应用。 5、了解CMOS基本门电路的功能。 6、掌握逻辑门多余输入端的处理方法。 二、实验仪器 三、实验原理 (一) 逻辑门电路的基本参数 用万用表鉴别门电路质量的方法:利用门的逻辑功能判断,根据有关资料掌握电路组件管脚排列,尤其是电源的两个脚。按资料规定的电源电压值接好(5V±10%)。在对TTL与 非门判断时,输入端全悬空,即全“1”,则输出端用万用表测 应为0.4V以下,即逻辑“0”。若将其中一输入端接地,输出 端应在3.6V左右(逻辑“1”),此门为合格门。按国家标准 的数据手册所示电参数进行测试:现以手册中74LS20二-4输 入与非门电参数规范为例,说明参数规范值和测试条件。 TTL与非门的主要参数 空载导通电源电流I CCL (或对应的空载导通功耗P ON )与非门处于不同的工作状态,电源 提供的电流是不同的。I CCL 是指输入端全部悬空(相当于输入全1),与非门处于导通状态,

输出端空载时,电源提供的电流。将空载导通电源电流I CCL 乘以电源电压就得到空载导通功 耗P ON ,即 P ON = I CCL ×V CC 。 测试条件:输入端悬空,输出空载,V CC =5V。 通常对典型与非门要求P ON <50mW,其典型值为三十几毫瓦。 2、空载截止电源电流I CCh (或对应的空载截止功耗P OFF ) I CCh 是指输入端接低电平,输出端开路时电源提供的电流。空载截止功耗POFF为空载截 止电源电流I CCH与电源电压之积,即 P OFF = I CCh ×V CC 。注意该片的另外一个门的输入也要 接地。 测试条件: V CC =5V,V in =0,空载。 对典型与非门要求P OFF <25mW。 通常人们希望器件的功耗越小越好,速度越快越好,但往往速度高的门电路功耗也较大。 3、输出高电平V OH 输出高电平是指与非门有一个以上输入端接地或接低电平的输出电平。空载时,输出 高电平必须大于标准高电压(V SH =2.4V);接有拉电流负载时,输出高电平将下降。 4、输出低电平V OL 输出低电平是指与非门所有输入端接高电平时的输出电平。空载时,输出低电平必须低于标准低电压(VSL=0.4V);接有灌电流负载时,输出低电平将上升。 5、低电平输入电流I IS (I IL ) I IS 是指输入端接地输出端空载时,由被测输入端流出的电流值,又称低电平输入短路 电流,它是与非门的一个重要参数,因为入端电流就是前级门电路的负载电流,其大小直接 影响前级电路带动的负载个数,因此,希望I IS 小些。 测试条件: VCC=5V,被测某个输入端通过电流表接地,其余各输入端悬空,输出空载。

数字电路实验报告基本逻辑门实验

姓名:xxxxxxxxxxxxxxx学号:xxxxxxxxxx . 学院:计算机与电子信息学院专业:计算机类. 班级:xxxxxxxxxxxxxxxxxx时间:2019年10月18 日. 指导教师:xxxxxxxx .实验名称:基本逻辑门实验 一、实验目的 1、掌握芯片的使用方法; 2、学会使用万用表检测电路; 3、熟悉数字电路实验箱及仿真软件的使用方法。 二、实验原理 门电路是一种开关电路,它每组门电路具有一个或多个输入端,只有一个输出端,当一个或多个输入端有信号时其输出端才有信号,门电路在满足一定条件时,按照一定规律输出信号,起着开关作用。基本门电路采用与门、或门和非门三种,也可以将其组合构成其它的门电路,如与非门、或非门等。 与非门的逻辑功能:当所有的输入端均为高电平时,输出为低电平;一个或一个以上的输入端为低电平时,输出为高电平。对于74LS00的两个输入端口的与非门有4种输入情况(二进制00-11),实际上只要对输入的00,01,10,11,四种进行测试就可以判断其逻辑功能是否正常。 在测试时,为了方便起见,也可以将输入低电平端接地,输入高电平端悬空,但在复杂的数字电路系统中,当输入信号少于与非门的输入端信号的个数时,为了避免干扰,对于TTL电路而言,通常将多余的输入端接入高电平或与该门有信号的输入端并联使用。 三、实验设备及器件 1、数字逻辑试验箱一个; 2、万用表一个; 3、元器件:74LS00、74LS20芯片各一个。 四、实验内容

1、实验内容1:测试74LS00的输入与输出之前的逻辑关系,记录表1-1输出电压及逻辑状态。 2、实验内容2:测试74SL20的输入和输出之间的逻辑关系,记录表2-1输出电压及逻辑状态。 3、实验内容3:电压传输特性测试,电路按图3-1连接,按表3-1所列输入电压值,逐点的进行测量,各输入电压通过调节电位器W获得,将测试结果在表3-1中记录,并根据实测数据做出电压传输特性曲线。 五、实验过程 1、实验内容1:测试74LS00的输入与输出之前的逻辑关系,记录表1-1输出电压及逻辑状态 (1)实验设计思路:利用芯片74LS00测试与非门输入与输出之间的关系,其中通过控制两个芯片管脚的0-1状态确定输入电平,通过观察小灯泡是否发光判断输出的逻辑状态,最后通过万用表直接测出输出电压的值并记录。 (2)元器件管脚图及功能说明: 图1-1 芯片74LS00是2输入四与非门

数字电路实验(九个)

脉冲与数字电路实验

目录 实验一TTL数字集成电路使用、与非门参数测试实验二门电路 实验三组合逻辑电路 实验四译码器与编码器 实验五触发器 实验六计数器一 实验七计数器二 实验八多谐振荡电路 实验九综合实验 ·二十四进制计数电路 ·数字定时器 ·图形发生器 专题实习通用计时器安装于调试 附录1 常用数字集成电路外引线图 附录2 TTL集成电路分类、推荐工作条件

实验一TTL数字集成电路使用、与非门参数测试 一、实验目的 学习TTL数字集成电路使用方法,学会查阅引脚图。掌握参数测试方法 二、实验设备及器件 1.逻辑实验箱1台 2.万用表1只 3.四2输入与非门74LS00 1只 三、实验重点 54/74LS系列数字集成电路的认识及使用方法 四、数字集成电路概述 以晶体管的“导通”与“截止”表达的两种状态及高电平(H)低电平(L)并以“1” 或“0”表示二进制数。能对二进制数进行逻辑运算、转换、传输、存储的集成电路称为数字集成电路。按分类有TTL型、CMOS型。按功能分有逻辑门电路、组合集成电路、集成触发器、集成时序逻辑电路。 五、实验内容及步骤 1.74LS系列数字集成电路外引线图及使用方法(引线图以14脚集成电路为例) 1)外引线排列 双列直插式封装引脚识别。引脚对称排 列,正面朝上半圆凹槽向左,左下为第1脚, 按逆时针方向引脚序号依次递增。 2)电源供电 芯片以5V供电,电源正极连接标有Vcc 字符的引脚,负极连接标有GND字符的 引脚。电源额定值应准确。为了达到良好的 使用效果,电源范围应满足4.5V≤Vcc≤5.5V。TTL数字集成电路引脚识别 电源极性连接应正确。 3)重要使用规则 a.输出端不能直接连接电源正极或负极。 b.小规模(SSI)和中规模(MSI)芯片,在使用中发热严重应检查外围连线连接是否正确。 1A1B1Y2A2B2Y GND 4A 4B4Y 3A 3B3Y 1A 1B1Y 2A 2B2Y & A B Y & A B Y

数字电子技术实验总结

数字电子技术实验总结 篇一:数电实验总结心得 数字电子技术实验总结心得 数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们就会学的头疼,如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验,我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在数字电子技术实验中,我们可以根据所给的实验仪器、实验原理和一些条件要求,设计实验方案、实验步骤,画出实验电路图,然后进行测量,得出结果。 在数字电子技术实验的过程中,我们也遇到了各种各样的问题,针对出现的问题我们会采取相应的措施去解决,比如: 1、线路不通——运用逻辑笔去检查导线是否可用; 2、芯片损坏——运用芯片检测仪器检测芯片是否正常可用以及它的类型; 3、在一些实验中会使用到示波器,这就要求我们能够正确、熟悉地

使用示波器,通过学习我们学会了如何调节仪器使波形便于观察,如何在示波器上读出相关参数,如在最后的考试实验《555时基电路及其应用》中,我们能够读出多谐振荡器的Tpl、Tph和单稳态触发器的暂态时间Tw,还有有时是因为接入线的问题,此时可以通过换用原装线来解决。 同时,我们也得到了不少经验教训: 1、当实验过程中若遇到问题,不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。 2、在实验过程中,要学会分工协作,不能一味的自己动手或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。通过在数字电子技术实验中的实践,我收获了许多! 自动0906裘日辉

数字电路实验心得体会(精选3篇)

数字电路实验心得体会(精选3篇)数字电路实验心得体会(精选3篇) 数字电路实验心得体会(精选3篇) 数字电路实验心得体会一:数字电路实验心得在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。

数字电路实验心得体会二:数电实验心得(903字)数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们就会学的头疼,如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在数字电子技术实验中,我们可以根据所给的实验仪器、实验原理和一些条件要求,设计实验方案、实验步骤,画出实验电路图,然后进行测量,得出结果。 在数字电子技术实验的过程中,我们也遇到了各种各样的问题,针对出现的问题我们会采取相应的措施去解决,比如: 1、线路不通——运用逻辑笔去检查导线是否可用; 2、芯片损坏——运用芯片检测仪器检测芯片是否正常可用以及它的类型; 3、在一些实验中会使用到示波器,这就要求我们能够正确、熟悉地使用示波器,通过学习我们学会了如何调节仪器使波形便于观察,如何在示波器上读出相关参数,如在最后的考试实验《555时基电路及其应用》中,我们能够读出多谐振荡器的Tpl、Tph和单稳态触发器的暂态时间Tw,还有有时是因为接入线的问题,此时可以通过换用原装线来解决。 同时,我们也得到了不少经验教训: 1、当实验过程中若遇到问题,不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。

相关主题
文本预览
相关文档 最新文档