当前位置:文档之家› 实验十 Moore型同步时序逻辑电路的分析与设计

实验十 Moore型同步时序逻辑电路的分析与设计

实验十  Moore型同步时序逻辑电路的分析与设计
实验十  Moore型同步时序逻辑电路的分析与设计

实验十Moore型同步时序逻辑电路的分析与设计

一.实验目的:

1.同步时序逻辑电路的分析与设计方法

2.掌握时序逻辑电路的测试方法。

二.实验原理:

1.Moore同步时序逻辑电路的分析方法:

时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。

2.Moore同步时序逻辑电路的设计方法:

(1)分析题意,求出状态转换图。

(2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

(4)触发器选型(D、JK)。

(5)状态编码,列出状态转换表,求出状态方程、驱动方程。

(6)画出时序电路图。

(7)时序状态检验,当N <2n时,应进行空转检验,以免电路进入无效状态不能启动。

(8)功能仿真,时序仿真。

3.同步时序逻辑电路的设计举例:

试用D触发器设421码模5加法计数器。

(1)分析题意:由于是模5(421码)加法计数器,其状态转换图如图1所示:

(2)状态转换化简:由题意得该电路无等价状态。

(3)确定触发器数:根据,2n-1

(4)触发器选型:选择D触发器。

(5)状态编码:Q3、Q2、Q1按421码规律变化。

(6)列出状态转换表,如表1.

(7)利用卡诺图如图2,求状态方程、驱动方程。

(8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的

状态转换图,如图3所示,检查是否能自启动。

(9)画出逻辑图,如图4 所示。

三、实验仪器:

1.示波器1台。

2.函数信号发生器1台。

3.数字万用表1台。

4.多功能电路实验箱1台;

四、实验内容:

1.模5(421码)(基于D触发器)加法计数器功能检验:按图4搭接电路,Cp 接单脉冲信号P+,Q3Q2Q1分别接逻辑指示灯L3L2L1,Rd---- 接逻辑开关K12,Sd1----、Sd2----、Sd3----分别接逻辑开关K1、K2、K3;接通电源后利用Rd----使计数器复位后,加单脉冲,观察计数器工作情况,写出时序表。

2.模5(421码)加法计数器时序图观察:

将Cp改接TTL信号(f=10kHz),用双踪示波器观察并记录Cp Q1 Q2 Q3波形。

3.设计10(8421BCD)加法计数器;

4.设计10(5421BCD)加法计数器。

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

东南大学 数字电路实验 第4章_时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

同步时序逻辑电路的习题 数字逻辑

第五章 同步时序逻辑电路的习题 一、基本知识点 1、时序逻辑电路的一般结构 特点:a 、有存储电路(记忆元件);有组合电路(特殊时可没有) b 、包含反馈电路,电路功能与“时序”相关 c 、输出不仅与输入(X )有关,而且与存储状态(Y )有关 分类:(1)Mealy 型 Z =F (X ,Q ) 输出是电路的输入和现态的函数(注意输出与输入有直接关系) (2)Moore 型 Z =F (Q ) 输出仅仅是电路现态的函数(注意输出与输入没有直接关系) 同步时序逻辑电路:各触发器共用同一时钟信号,即电路中各触发器状态的转换时刻在统一时钟信号控制下同步发生。 异步时序逻辑电路:电路没有统一的时钟信号对状态变化进行同步控制,输入信号的变化将直接引起电路状态的变化。 //本课程将较少讨论异步时序逻辑电路 2、同步时序逻辑电路的描述 注意:任一个同步时序逻辑电路的结构和功能可用3组函数表达式完整地描述。 (1)激励函数表达式:存储电路输入Y 与电路输入X 和现态Q 之间的关系 Y =F (X ,Q ) //现态Q 就是上图存储电路原始的输出y k (2)次态函数表达式:电路的次态Q n+1与激励函数Y 和现态Q 之间关系 Q n+1=F (Y ,Q ) //次态Q n+1就是上图存储电路再次触发后的输出y k n+1 (3)输出函数表达式:电路的输出Z 和输入X 和当前现态Q 的关系 Mealy 型 Z =F (X ,Q ) Moore 型 Z =F (Q ) 输入信号 输出信号 X 1 X 2 X n Z 1 Z 2 Z m y s 过去输入 现态 现在输入 } 输出 输出 所有输入 现态

时序逻辑电路实验报告

时序逻辑电路实验报告 一、实验目的 1. 加深理解时序逻辑电路的工作原理。 2. 掌握时序逻辑电路的设计方法。 3. 掌握时序逻辑电路的功能测试方法。 二、实验环境 1、PC机 2、Multisim软件工具 三、实验任务及要求 1、设计要求: 要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 2、实验内容: (1)按要求完成上述电路的功能。 (2)验证其功能是否正确。 四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程) 首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。然后通过化简卡诺图,得到对应的次态的状态方 程;

然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。

五、实验电路(画出完整的逻辑电路图和器件接线图)

六、总结调试过程所遇到的问题及解决方法,实验体会 1、设计过程中遇到过哪些问题?是如何解决的? 在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。 2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。 通过这次时序逻辑电路实验,我最大的感触就是实验设计的思路与步骤一定要清晰,思路与步骤的清晰与否真的是造成实验设计是否困难的最重要的因素。清晰的话,做起实验来如同顺水推舟,毫不费力,不清晰的话则如入泥潭,寸步难行。

同步时序电路的设计步骤

同步时序电路的设计步骤 同步时序电路的设计步骤 同步时序电路的分析是根据给定的时序逻辑电路,求出能反映该电路功能的状态图。状态图清楚地表明了电路在不同的输入、输出原状态时,在时钟作用下次态状态的变化情况。同步时序电路的设计的设计是分析的反过程,其是根据给定的状态图或通过对设计要求的分析得到的状态图,设计出同步时序电路的过程。 这里主要讨论给定状态图的情况下的同步时序电路的设计,对于具体的要求得到状态图的过程一般是一个较复杂的问题,这是暂不讲。根据已知状态图设计同步时序电路的过程一般分为以下几步: 1.确定触发器的个数。首先根据状态的个数来确定所需要触发器的个数,如给定的状态个数为n,由应满足 n≤2K,K为实现这来状态所需要的触发器的个数。(实际使用时可能给定的状态中存在冗余项,这时一般还须对状态进行化简。) 2.列出状态转移真值表。根据状态列出状态转移真值表,也称状态表、状态转移表。 3.触发器选型。选择合适的触发器,通常可选的触发器有:JK-FF,D-FF,T-FF,一般使用较广的为JK-FF。根据状态图和给出的触发器的型号写出其输入方程,通常在写输入方程时须对其进行化简,以使电路更简单。 4.求出输出方程。根据状态表,求出输出逻辑函数Z的输出方程,还过有些电路没有独立的输出,这一步就省了。 5.画出逻辑图。根据输入方程、输出方程画出逻辑电路图。 6.讨论设计的电路能否自启动。在设计的电路中可能出现一些无关的状态,这些状态能否经过若干个时钟脉冲后进行有效的状态。 同步时序电路设计举例 例按下图状态图设计同步时序电路。 1.根据状态数确定触发器的数目:由状态图可以看出,其每个状态由两个状态,故可用两个触发器。其变量可 用Q 1,Q 表示; 2.根据状态图列出状态表:状态表的自变量为输入变量x和触发器当前状态Q 1 n,Q n,而应变量为触发器的次态 Q 1n+1Q n+1、及输出z,列表时将自变量的所有组合全部列出来,其中当Q 1 n Q n=01的状态为不出现,其输出可看作任意 项处理。

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

实验十 Moore型同步时序逻辑电路的分析与设计

实验十Moore型同步时序逻辑电路的分析与设计 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.掌握时序逻辑电路的测试方法。 二.实验原理: 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

(7)利用卡诺图如图2,求状态方程、驱动方程。 (8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的 状态转换图,如图3所示,检查是否能自启动。

《单片机系统设计》实验报告

短学期实验报告 (单片机系统设计) 题目: 专业: 指导教师: 学生姓名: 学号: 完成时间: 成绩:

基于单片机的交流电压表设计 目录 1系统的设计要求 (2) 2系统的硬件要求 (2) 2.1真有效值转换电路的分析 (2) 2.2放大电路的设计 (3) 2.3A/D转换电路的设计 (3) 2.4单片机电路的分析 (4) 2.5显示电路 (4) 3 软件设计 (5) 3.1 软件的总流程图 (5) 3.2 初始化定义与定时器初始化流程图 (5) 3.3 A/D转换流程图 (6) 3.4 数据处理流程图 (6) 3.5 数据显示流程图 (7) 4 调试 (7) 4.1 调试准备 (7) 4.2 关键点调试 (7) 4.3 测试结果 (8) 4.4 误差分析 (8) 5结束语 (8) 5.1 总结 (9) 5.2 展望 (9) 附录1 总原理图 (10) 附录2 程序 (10) 附录3 实物图 (14)

基于单片机的交流电压表设计 ****学院 ****专业 姓名 指导老师:******* 1 设计要求 (1)运用单片机实现真有效值的检测和显示。 (2)数据采集使用中断方式,显示内容为有效值与峰值交替进行。 2 硬件设计 本系统是完成一个真有效值的测量和显示,利用AD737将交流电转换成交流电压的有效值,用ADC0804实现模数转换,再通过单片机用数码管来显示。系统原理框图如图2-1所示。系统框图由真有效值转换电路、放大电路、A/D 转换电路、单片机电路、数码管显示电路五部分。 图2-1 原理框图 2.1 真有效值转换电路 真有效值转换电路主要是利用AD737芯片来实现真有效值直流变换的,即将输入的交流信号转换成直流信号的有效值,其原理图如图2-2所示。 图2-2 真有效值转换电路 由于AD737最大输入电压为200mV, 所以需要接两个二极管来限制输入电压,起到限幅的作用。如图中D1、D2,由IN4148构成,电容C6是耦合电容,电阻R1是限流电阻。 2.2 放大电路设计 放大电路主要是利用运放uA741来进行放大,电路原理图如图2-3所示。 A/D 转换 单片机 电路 显示 电路 转换 电路 交流 信号 放大 电路

同步时序逻辑电路分析与设计

“电工学(二)数字逻辑电路”课程实验报告 实验/实训项目同步时序逻辑电路分析与设计 实验/实训地点 实验/实训小组 实验/实训时间 专业电器工程及其自动化 班级 姓名 学号 指导老师

过程、步骤、代一、实验原理 1. 集成计数器74LS290功能测试。 74LS290是二一五一十进制异步计数器,逻辑简图为图5.1所示。 74LS290具有下述功能: 直接置0(R 0(1),R 0(2)=1),直接置(S 0(1),S 0(2)=1) 二进制计数(CP 1输入Q A 输出) 五进制计数(CP 1输入Q A Q B Q C 输出) 十进制计数(两种接法如图5.2A 、B 所示) 按芯片引脚图分别测试上述功能,并填入表5.1、表5.2、表5.3中。 图5.1 74LS290逻辑图

图5.2 十进制计数器 2. 计数器级连 分别用2片74LS290计数器级连成二一五混合进制、十进制计数器。 (1)画出连线电路图。 (2)按图接线,并将输出端接到LED 数码显示器的相应输入端,用单脉冲作为输入脉冲验证设计是否正确。 (3)画出四位十进制计数器连接图并总结多级计数级连规律。 3. 任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法),可用74LS290组成任意(M )计数器,图5.3是用74LS290实现模7计数器的两种方案,图(A )采用复位法,即计到M 异步置0,图(B )采用置位法,即计数计到M-1异步置0。 表5.1 功能表 R 0(1) R 0(2) S 0(1) S 0(2) 输出 Q D Q G Q B Q A H H L X H H X L X X H H X L X L L X X L X L L X 表5.2 二一五混合时制 计数 输出 Q A Q D Q G Q B 0 1 2 3 4 5 6 7 8 9

实验五时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告一、实验目的 1掌握同步计数器设计方法与测试方法。 2 ?掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20 等。 三、实验原理和实验电路 1计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2. (1)四位二进制(十六进制)计数器74LS161 (74LS163) 74LS161是同步置数、异步清零的4位二进制加法计数器,其功能表见表。 74LS163是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LS161相同。二者的外部引脚图也相同,如图所示。 3.集成计数器的应用一一实现任意M进制计数器

Eft CR IK rh th Ih ET 7-I1A C1M /( 制扭环计数 同步清零法器 同步置数法 般情况任意M 进制计数器的结构分为 3类,第一类是由触发器构成的简单计数器。 第 二类是 由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。 第一类,可利用时序逻辑电路的设计方法步骤进行设计。 第二类,当计数器的模 M 较小时用 一片集成计数器即可以实现,当 M 较大时,可通过多片计数器级联实现。两种实现方法:反 馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4?实验电路: 十进制计数器 1

图74LS161 (74LS163)外部引脚图 四、实验内容及步骤 1 .集成计数器实验 (1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。 (2)根据电路图,首先用D触发器74LS7474构成一个不能自启的六进制扭环形计数器,同样将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二 极管的变化,记录得到电路计数过程和状态的转换规律。注意观察电路是否能自启,若不能自启,则将电路置位有效状态。接下来再用D触发器74LS7474构成一个能自启的六进制扭环 形计数器,重复上述操作。 2?分频实验 依据实验原理图用74LS163及74LS00组成一个具有方波输出的六分频电路。选择适当时钟输入方式及频率(CP接连续波脉冲),用双踪示波器观察并记录时钟与分频输出信号的时序波形。 五、实验结果及数据分析 1 .集成计数实验同步清零和同步置数的十进制加一计数器状态转换过程分别如下所示: 0000 : 0001 : 0010 : 0011 ; 0100 爲00*卄庇爲爲卄yh 六进制扭环形计数器的状态转换过程如下:

Moore型同步时序逻辑电路的设计与分析

实验九Moore型同步时序逻辑电路的分析与设计 22920132203686 薛清文周2下午实验 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.D,JK触发器的特性机器检测方法。 2.掌握时序逻辑电路的测试方法。 3.了解时序电路自启动设计方法。 4.了解同步时序电路状态编码对电路优化作用。 二.实验原理: 二、 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

[VIP专享]EDA 实验2简单分频时序逻辑电路设计 实验报告

时序电路设计 实验目的: 1.掌握条件语句在简单时序模块设计中的使用。 2.学习在Verilog模块中应用计数器。 实验环境: Windows 7、MAX+PlusⅡ10等。 实验内容: 1.模为60的8421BCD码加法计数器的文本设计及仿真。 2.BCD码—七段数码管显示译码器的文本设计及仿真。 3.用For语句设计和仿真七人投票表决器。 4.1/20分频器的文本设计及仿真。 实验过程: 一、模为60的8421BCD码加法计数器的文本设计及仿真: (1)新建文本:选择菜单File下的New,出现如图5.1所示的对话框,在框中选中“Text Editor file”,按“OK”按钮,即选中了文本编辑方式。 图5.1 新建文本 (2)另存为Verilog编辑文件,命名为“count60.v”如图5.2所示。 (3)在编辑窗口中输入程序,如图5.3所示。

图5.2 另存为.V编辑文件图5.4 设置当前仿真的文本设计 图5.3 模为60的8421BCD码加法计数器的设计代码

(4)设置当前文本:在MAX+PLUS II中,在编译一个项目前,必须确定一个设计文件作为当前项目。按下列步骤确定项目名:在File菜单中选择Project 中的Name选项,将出现Project Name 对话框:在Files 框内,选择当前的设计文件。选择“OK”。如图5.4所示。 (5)打开编译器窗口:在MAX—plusⅡ菜单内选择Compiler 项,即出现如图5.5的编译器窗口。 图5.5 编译器窗口 选择Start即可开始编译,MAX+PLUS II编译器将检查项目是否有错,并对项目进行逻辑综合,然后配置到一个Altera 器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件。 (6)建立波形编辑文件:选择菜单File下的New选项,在出现的New对话框中选择“Waveform Editor File”,单击OK后将出现波形编辑器子窗口。 (7)仿真节点插入:选择菜单Node下的Enter Nodes from SNF选项,出现如图5.6所示的选择信号结点对话框。按右上侧的“List”按钮,在左边的列表框选择需要的信号结点,然后按中间的“=>”按钮,单击“OK”,选中的信号将出现在波形编辑器中。 图5.6 仿真节点插入

时序逻辑电路实验报告

数字电子技术实验报告 实验名称:时序逻辑电路 班级姓名学号 实验日期年月日交报告日期年月日 时序逻辑电路 一、设计要求 1.设计一个秒脉冲发生器,用LED指示秒脉冲的发送。 2.设计一个带有异步清零和同步置数信号的4位寄存器,并在开发板上验证。 二、实验内容 任务1:秒脉冲发生器 (1)源文件——ge.v module ge( input clr, input clk, output LED ); parameter T1s = 26'b10_1111_1010_1111_0000_1000_0000; reg [26:0] Count1; always @ (posedge clk or posedge clr) begin if(clr==1) Count1<=0; else if(Count1==T1s) Count1<=0; else Count1<=Count1 + 1; end reg rled; always @ (posedge clk or posedge clr) begin if(clr==1) rled<=0; else if(Count1==T1s) rled<=~rled; end assign LED=rled; endmodule (2)约束文件——ge.ucf NET"clk"LOC="B8"; //时钟 NET"clr"LOC="N3"; //SW7

NET"LED"LOC="G1"; //LED7 (3)开发板验证 当将开关SW7拨到1,LED7每隔1s闪烁一次。 任务2:四位寄存器(异步清零,同步置数) (1)源文件——ge1.v module ge1(clr,clk,d,load,q); input clr,clk,load; input[3:0] d; output[3:0] q; reg[3:0] q; always@(posedge clk or posedge clr) if(clr) q<=0; //异步清零 else if(!clr && load) //同步置数 begin q[0]<=1;q[1]<=1;q[2]<=1;q[3]<=1; end else q<=d; endmodule (2)约束文件——ge1.ucf NET"clk"LOC="B8"; //MCLK NET"clr"LOC="P11"; //SW0 NET"load"LOC="L3"; //SW1 NET"d[3]"LOC="G3"; //SW4 NET"d[2]"LOC="F3"; //SW5 NET"d[1]"LOC="E2"; //SW6 NET"d[0]"LOC="N3"; //SW7 NET"q[3]"LOC="N5"; //LD4 NET"q[2]"LOC="N4"; //LD5 NET"q[1]"LOC="P4"; //LD6 NET"q[0]"LOC="G1"; //LD7 (3)仿真文件——test_ge1.v #100; clr=1; #200; clr=0;clk=1;d=0100; #200; clk=0;d=1100; #200; clk=1;d=1001; #200; clk=0;d=0011;

同步时序逻辑电路的分析方法

时序逻辑电路的分析方法 时序逻辑电路的分析:根据给定的电路,写出它的方程、列出状态转换真值表、画出状态转换图和时序图,而后得出它的功能。 同步时序逻辑电路的分析方法 同步时序逻辑电路的主要特点:在同步时序逻辑电路中,由于所有触发器都由同一个时钟脉冲信号CP来触发,它只控制触发器的翻转时刻,而对触发器翻转到何种状态并无影响,所以,在分析同步时序逻辑电路时,可以不考虑时钟条件。 1、基本分析步骤 1)写方程式: 输出方程:时序逻辑电路的输出逻辑表达式,它通常为现态和输入信号的函数。 驱动方程:各触发器输入端的逻辑表达式。 状态方程:将驱动方程代入相应触发器的特性方程中,便得到该触发器的状态方程。 2)列状态转换真值表: 将电路现态的各种取值代入状态方程和输出方程中进行计算,求出相应的次态和输出,从而列出状态转换真值表。如现态的起始值已给定时,则从给定值开始计算。如没有给定时,则可设定一个现态起始值依次进行计算。 3)逻辑功能的说明: 根据状态转换真值表来说明电路的逻辑功能。 4)画状态转换图和时序图: 状态转换图:是指电路由现态转换到次态的示意图。 时序图:是在时钟脉冲CP作用下,各触发器状态变化的波形图。 5)检验电路能否自启动 关于电路的自启动问题和检验方法,在下例中得到说明。

2、分析举例 例、试分析下图所示电路的逻辑功能,并画出状态转换图和时序图。 解:由上图所示电路可看出,时钟脉冲CP加在每个触发器的时钟脉冲输入端上。因此,它是一个同步时序逻辑电路,时钟方程可以不写。 ①写方程式: 输出方程: 驱动方程: 状态方程: ②列状态转换真值表: 状态转换真值表的作法是: 从第一个现态“000”开始,代入状态方程,得次态为“001”,代入输出方程,得输出为“0”。

数字电路 时序逻辑电路——计数器实验实验报告

肇 庆 学 院 电子信息与机电工程 学院 数字电路 课 实验报告 12电气(1) 班姓名 王园园 学号 2 实验日期2014年5 月26 日 实验合作者:李俊杰 老师评定 实验题目:时序逻辑电路——计数器实验 一、实验目的 (一)掌握由集成触发器构成计数器的方法。 (二)熟悉中规模集成计数器74LS161计数器的逻辑功能及使用方法。 (三)学习中规模集成计数器74LS192计数器的逻辑功能及使用方法。 (四)学习计数器清零端与置数端的功能、同步与异步的概念。 二、实验仪器: DZX-1型电子学综合实验装置 UT52万用表 芯片74LS00 74LS161 74LS192 三、实验内容 图5-1 74LS161构成N 进制计数器目标电路图 图5-2 74LS161引脚排列图 输入 输出 CR CP LD CT P CT T D 3D 2D 1D 0 n n n n Q Q Q Q 0123 C0 0 x x x x x 0 0 0 0 1 0 x x d 3d 2d 1d 0 d 3d 2d 1d 0 CO= CT T Q Q Q Q n n n 123 1 1 1 1 x 计数 CO=n n n n Q Q Q Q 0123 1 x 1 0 x x 保持 CO= CT T Q Q Q Q n n n 123 1 x 1 x x 保持 用十六进制同步加法计数器74LS161构成N 进制计数器的设计(异步清零,同步置数)

1.按图5-1接好。从CP端输入时钟脉冲。 2.将M端接高电平,并把计数结果记录下来。如下表5-2 3.将M端接低电平,并把计数结果记录下来。 4.如果将清零端与置数端接线交换,重复2、3步骤,计数器的N分别等于多少? 答:2,3步骤N都为16 接线交换后,LD=1输入无效。加法计数器计数溢出后CO=1 => CR=0触发异步清零,然后CO=0 => CR=1,计数器重新从零开始加法计数,所以N=15

实验十 Moore型同步时序逻辑电路的分析与设计

实验十 Moore型同步时序逻辑电路的分析与设计 一、实验目的 1.掌握同步时序逻辑电路的分析、设计方法; 2.掌握时序逻辑电路的测试方法。 二、实验原理 1.Moore型同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图,选择芯片,根据芯片管脚,在逻辑图上标明管脚号;大街电路后,根据电路要求输入时钟信号,要求出电路的状态转换图或时序图,从中分析出电路的功能。 2.Moore型同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态化简:确定等价状态,电路中的等价状态可合并为一个状态。 (3)重新确定电路状态数N,求出触发器数你n,触发器数按下列公式求:2n-1

(8)功能仿真、时序仿真。 3.同步时序逻辑电路的设计举例: 试用D触发器设421码模5加法计数器。 (1)分析题意:由于是模5 (421码)加法计数器,其状态转换图如图1所示: (2)状态转换化简:由题意得该电路无等价状态。 (3)确定触发器数:根据,2n-1

(8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的状态转换图,如图3所示,检查是否能自启动。 (9)画出逻辑图,如图4 所示。 三、实验仪器 1.示波器1台 2.函数信号发生器1台 3.数字万用表1台 4.多功能电路实验箱1台

时序逻辑电路实验报告

时序逻辑实验报告(时序逻辑实验报告1)。实验目的1。掌握同步计数器的设计方法和测试方法。2掌握常用积分计数器的逻辑功能和使用方法。第二,lshd数字信号盒。该计数器不仅可用于计数,还可用于分频、定时和数字运算。在实际工程应用中,很少使用小型触发器构成计数器,而直接使用中型集成计数器。2(1)四位二进制计数器74ls161?74lsl61是具有同步设置和异步清除功能的4位二进制加法计数器。其功能表如下表所示。74ls163是一个4位二进制加法计数器,具有同步设置和同步清除功能。其他函数与74lsl61相同,区别在于删除是同步的。此图显示两个管脚的外部示意图。表74lsl61功能表3。应用集成计数器实现了正常情况下的任意一种计数器。任何玛丽计数器的结构都可以分为三种类型。第一种类型是由触发器组成的简单计数器。第二种类型由一个集成的二进制计数器组成。第三种类型是移位寄存器,它由移位寄存器组成。在第一类中,您可以使用顺序逻辑电路进行设计。在第二类中,当计数器的模数m较小时,可以通过积分计数器来实现。当m较大时,可以通过级联多个计数器来实现。实现方法有两种:反馈设置法和反馈清除法。第三种类型是移位寄存器计数器,它由移位寄存器

组成。4实验电路:十进制计数器同步清除法、同步设定法、六边形回路输出、六边形分频电路图74ls161外部引脚图4。实验内容及步骤?1。综合计数器实验?根据电路图,使用介质集成计数器74ls163和“与非门74ls00”连接十进制计数器的同步设置或同步清零,输出连接到数码管或LED。然后以单个脉冲作为触发输入,观察数码管或发光二极管的变化,记录电路的计数过程和状态转换规律。根据电路图,用D触发器74ls7474构成一个六边形扭环计数器,输出端还连接到数码管或发光二极管上。然后用单个脉冲作为触发输入,观察数码管或LED的变化,记录电路计数过程和状态转换规律。注意观察电路是否能自动启动,否则不能将电路设置为有效状态。接下来,使用D触发器74ls7474形成自启动六边形扭环计数器,并重复上述操作。2分频实验根据实验原理图,由74ls163和74ls00组成方波输出六分频电路。选择合适的时钟输入方式和频率,用双轨示波器观察并记录时钟和分频输出信号的时序波形。5实验结果及数据分析1。同步清除十进制数加一。状态转换过程如下:分频实验成功实现了六频输出,输出波形为跟随:。实验总结和改进实验比较成功。通过这次测试,掌握了同步计数器的设计方法和

组合逻辑电路的分析与设计实验报告

组合逻辑电路的分析与设计 实验报告 院系:电子与信息工程学院班级:电信13-2班 组员姓名: 一、实验目的 1、掌握组合逻辑电路的分析方法与测试方法。 2、掌握组合逻辑电路的设计方法。 二、实验原理 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只取决于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行:①由逻辑图写输出端的逻辑表达式;②写出真值表;③根据真值表进行分析,确定电路功能。 2.组合逻辑电路一般设计的过程为图一所示。 图一组合逻辑电路设计方框图 3.设计过程中,“最简”是指按设计要求,使电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 三、实验仪器设备 数字电子实验箱、电子万用表、74LS04、74LS20、74LS00、导线若干。 74LS00 74LS04 74LS20 四、实验内容及方法

1 、设计4线-2线优先编码器并测试其逻辑功能。 数字系统中许多数值或文字符号信息都是用二进制数来表示,多位二进制数的排列组合叫做代码,给代码赋以一定的含义叫做编码。 (1)4线-2线编码器真值表如表一所示 4线-2线编码器真值表 (2)由真值表可得4线-2线编码器最简逻辑表达式为 Y=((I0′I1′I2I3′)′(I0′I1′I2′I3)′)′ 1 Y=((I0′I1I2′I3′)′(I0′I1′I2′I3)′)′ (3)由最简逻辑表达式可分析其逻辑电路图 4线-2线编码器逻辑图 (4)按照全加器电路图搭建编码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 I=1 1Y0Y=0 0 1I=1 1Y0Y=0 1 I=1 1Y0Y=1 0 3I=1 1Y0Y=1 1 2 2、设计2线-4线译码器并测试其逻辑功能。 译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的号.(即电路的某种状态),具有译码功能的逻辑电路称为译码器。 (1)2线-4线译码器真值表如表二所示

时序电路设计举例

1.智能机器人能够识别并绕开障碍物,在充斥着障碍物的环境里自由行走。它的前端有一个接触传感器,当遇到障碍物时传感信号X=1,否则传感信号X=0。 它有两个控制信号Z1和Z0控制脚轮行走,Z1=1时控制机器人左转,Z0=1时控制机器人右转,Z1Z0=00时控制机器人直行。机器人遇到障碍物时的转向规则是:若上一次是左转,则这一次右转,直到未探测到障碍物时直行;若上一次是右转, 则这一次左转,直到未探测到障碍物时直行。试用D 触发器设计一个机器人控制器, 控制机器人的行走方式。 2.用JK 要求电路能够自启动。 3.设计一个序列检测器,(或三个以上)1时,序列检测器输出为1,否则输出0. 4.用D 触发器设计一个三位串行奇偶校验电路,当电路串行接收了三位二进制数,如果1的个数是偶数,在收到第三位数时,电路输出为1;其余情况下均为0。每三位二进制数为一组,在收到第三位数码后,电路返回初始状态,准备接收下一组数 5.用JK 触发器和门电路设计一个四位二进制数串行加法器,以实现最低位在前的两个串行二进制整数相加,输出为最低位在前的两数之和,其进位将寄存在串行加法器中,以便在下个cp 脉冲到来时与高一位的被加数及加数相加。 6.用隐含表化简法化简表1所示的原始状态表。并设计电路。 表1 7.对表2所示的最简状态表,提出一种合适的的状态分配方案, 列出其编码状态表,并设计电路。

表2 8.求出下表所示的激励函数和输出函数表达式,并画出电路。分别用D触发器J-K 9. “1111”序列检测器。当连续输入四个或四个以上的1时,电路输出为1;其它情况下电路输出为0。设计电路。 10.某序列检测器有一个输入X和一个输出Z,当收到的输入序列为“101”或“0110”时,在上述序列的最后一位到来时,输出Z=1,其它情况下Z=0,允许输入序列码重叠。试列出其原始状态表,并设计电路。 11.用d触发器设计模8计数器 12.用d触发器设计模10计数器,要求能自启动。

相关主题
文本预览
相关文档 最新文档