数据选择器及数据分频器
- 格式:pptx
- 大小:565.18 KB
- 文档页数:36
数据选择器及其应用实验报告实验目的:
本实验的目的是通过实现数据选择器的功能,加深对于数字电路的理解,并提升对于数字电路实现的实践能力。
实验原理:
数据选择器是一种能够从多个数据信号中选择特定信号输出的数字电路,通常它有一个或多个数据输入线、一个或多个控制输入线、一个输出线和一个使能输入线。
在数据选择器输出线上的输出值,取决于控制输入线上的值以及选择从哪一个数据输入线接收数据信号。
在本次实验中,我们使用的是双二选一的数码开关。
“双”指的是它一共有两个信道供选择,“二选一”则代表只会选择其中一个信道作为输出。
实验步骤:
1.根据实验原理和实验材料的提供,搭建实验电路。
2.设置信号源,对选择器进行输入数据和控制信号的测试。
3.根据信号源输出的数据,通过实验电路计算出数据选择器输出的结果。
4.逐一更改控制信号的值,反复测试并记录数据。
并对实验记录进行整理和比较分析,以达到理解、检验和加深对数据选择器的认识。
实验结果:
在实验中我们完成了数据选择器的搭建和调试,并通过多次实验数据的记录与比较,成功实现了数据选择器的功能。
实验结论:
通过本次实验,我们深入学习了数据选择器的工作原理和实现方式,并从中进一步了解了数字电路的基本概念和实现方式。
通
过反复实验和分析,我们成功完成了数据选择器的功能调试,提升了我们的实践能力和对数字电路的理解。
实验二数据选择器的逻辑功能及测试引言:数据选择器是一种常见的电子设备,它能够根据一定的条件从给定的数据集合中选择出符合要求的数据。
在现实生活和工程应用中,数据选择器广泛应用于数据处理、信息查询和决策分析等领域。
本实验旨在设计和实现一个简单的数据选择器,并测试其逻辑功能。
一、实验目的1.熟悉数据选择器的基本原理和逻辑功能;2. 学习使用Logisim进行数字电路绘制和模拟测试;3.实践运用逻辑门电路设计和逻辑表达式推导技巧。
二、实验原理1.数据选择器:数据选择器是一种能够根据输入条件从给定的数据集合中选择出符合要求的数据的电子设备。
常用的数据选择器有多路选择器、分频器和比较器等。
在本实验中,我们将设计一个2-4数据选择器,能够根据两个选择信号S0和S1,选择相应的数据输入D0、D1、D2或D3输出到数据输出端口Y。
2.逻辑功能:2-4数据选择器的逻辑功能可用以下真值表和逻辑表达式表示:S1,S0,D0,D1,D2,D3,Y----,----,----,----,----,----,---0,0,X,X,X,X,Y00,1,X,X,X,X,Y11,0,X,X,X,X,Y21,1,X,X,X,X,Y3Y0=~S1'~S0'D0+~S1'~S0D1+~S1S0'~D2+S1S0D3Y1=~S1'~S0'D0+~S1'~S0D1+~S1S0'~D2+S1S0D3Y2=~S1'~S0'D0+~S1'~S0'D1+~S1S0'D2+S1S0D3Y3=~S1'~S0'D0+~S1'~S0'D1+~S1S0'D2+S1S0'D3其中,~表示取反运算,'表示非运算。
三、实验装置与实验步骤1.设计电路:使用Logisim软件进行电路设计。
首先,添加一个2-4数据选择器。
数字电路实验讲义杭州电子科技大学2010.04实验1 数据选择器的应用1 实验目的1.了解数据选择器的电路结构和特点。
2.掌握数据选择器的逻辑功能和测试方法。
3.掌握数据选择器的基本应用。
2 实验仪器与器件3 实验原理数据选择器又称为多路开关,是一种重要的组合逻辑部件。
它是一个多路输入、单路输出的组合电路,能在通道选择信号(或称地址码)的控制下,从多路数据传输中选择任何一路信号输出。
在数字系统中,经常利用数据选择器将多条传输线上的不同数字信号,按要求选择其中之一送到公共数据线上。
另外,数据选择器还可以完成其它的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。
(一)用门电路设计四选一数据选择器四选一数据选择器表达式为301201101001d A A d A A d A A d A A Y +++=,由表达式可以得到当A 1A 0=00时,Y=d 0;A 1A 0=01时,Y=d 1; A 1A 0=10时,Y=d 2;A 1A 0=11时,Y=d 3,这样就起到数据选择的作用。
同时由表达式可以直接用门电路设计出数据选择器电路,该电路如图2.4.1所示。
(二)双四选一数据选择器74LS153的应用74LS153数据选择器集成了两个四选一数据选择器,外形为双列直插,引脚排列如图2.4.2所示,逻辑符号如图2.4.3所示,其中D 0、D 1、D 2、D 3为数据输入端,Q 为输出端,A 0、A 1为数据选择器的控制端(地址码),同时控制两个数据选择器的输出,S 为工作状态控制端(使能端),74LS153的功能表见表2.4.1。
用数据选择器74LS153实现组合逻辑函数设计举例:当变量数等于地址端的数目时,则直接可以用数据选择器来实现逻辑函数。
现设逻辑函数F (X ,Y )=∑m (1,2),则可用一个四选一完成,根据数据选择器的定义:30120110100101D A A D A A D A A D A A )A ,Q(A +++=,令A 1=X ,A 0=Y ,1S =0(使能信号,低电平有效),1D 0=1D 3=0,1D 1=1D 2=1,那么输出Q=F 。
EDA课程设计报告数字跑表学院:机械与电子工程学院专业:电子科学与技术学号:1020630208姓名:熊……一:设计目的:(1)学会利用QuartusⅡ发热宏单元和所学的数字电路知识,搭建复杂一点的数字电路或系统。
(2)学会使用EDA的程序语言FPGA/CPLD设计数字跑表,设计主要包括功能分析、方案设计和电路测试几个步骤。
二:设计内容:1.设计一个数字跑表,具有如下功能。
(1)复位和暂停,秒表计时等功能。
(2)跑表计时长度可达1小时,计时精度为0.01 秒。
控制端取值功能复位(clr) 1 异步清零0 计数计数/暂停键(pause) 1 暂停0 计数2.方案论证:数字跑表设三个输入端,分别为时钟输入(CLK),复位(CLR),启动、暂停按键(PUSE)。
复位信号高电平有效,可对跑表异步清零;当启动、暂停键为低电平时跑表开始计时,为高电平时暂停,变低后在原来的数值基础上继续计数。
数字跑表的结构示意图如下:图2-1跑表示意图3.模块电路设计:数字跑表实际上为计数器,数据选择器,七段数码管译码器等模块构成,核心模块应为计数器,其次为暂停控制和清零控制。
计时电路计时电路又分为百分秒计时电路、秒计时电路和分计时电路三个模块。
百分秒计时电路是一个100进制的计数器,以100Hz输入信号作为计数时钟,其进位信号作为秒计数电路的计数时钟,当秒计数器计满时,产生的进位信号又作为分计数电路的计数时钟。
电路的暂停和复位信号用于控制计时的开始、停止和清零。
计数器模块:数字跑表的计时器功能是,当PAUSE 为低电平时开始计数,百分秒低位自加一,加到九时归零,百分秒高位自加一,加到九时归零,且向秒位发出一个高电平,秒低位自加一,加到九时归零,秒高位自加一,加到五时归零,且向分位发出一个高电平,分低位自加一,加到六时系统清零。
数据选择器:数据选择模块:定义三位二进制数ss 作为选择数码管的变量,ss 自加一,当ss 大于7时归零,当ss 为5、4、3、2、1时分别将msl,msh,sl,sh,ml,mh 的值赋给coder ,当ss 为6、7时赋值为零。
二选一数据选择器目录一:数据选择器的基本原理 (3)二电路逻辑功能 (2)2.1 电路逻辑图 (2)2.2真值表与表达式 (3)2.3电路设计及仿真 (3)三版图设计 (5)3.1总体版图设计及DRC验证 (5)3.1.1数据选择器版图设计步骤 (5)3.1.2版图验证 (8)3.2版图仿真 (9)四数据选择器版图LVS对比 (10)五结论及体会 (12)一:数据选择器的基本原理数据选择器是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。
它的作用相当于多个输入的单刀多掷开关,其示意图如下:图1 n位通道选择信号数据选择器除了可以实现一些组合逻辑功能以外,还可以做分时多路传输电路、函数发生器及数码比较器等。
常见的数据选择器有4选1、8选1、16选1电路。
在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号下图所示为二选一数据选择器原理图,a,b为输入端,sel为控制端,out为输出端图1-1数据选择器原理图二电路逻辑功能2.1 电路逻辑图=+(S是数据选择控制端,S为0时选择A,为1时选S择B)Y SA SB要实现2选1选择器,逻辑电路图如下所示图2-1数据选择器逻辑电路图2.2真值表与表达式二选一数据选择器逻辑表达式为:Y SA SB =+根据逻辑表达式所列真值表如下图所示图2-2数据选择器真值表图2.3电路设计及仿真根据原理电路图并使用S-Edit 软件设计出数据选择器的电路图及对应符号图如下:S A B Y 01 1 1 1 0 1 0 1 0 0 0 0 1 1 1 1 1 0 0 0 1 1 0图2-3数据选择器符号图根据符号图并使用S-Edit软件设计出的数据选择器电路图如下所示图2-4数据选择器电路图导出的SPICE文件,如下图所示图2-5 spice文件加载包含文件,如下图所示图2-6 加载后的SPICE文件在其基础上进行仿真:下图从上到下依次为Y. S B A,结合逻辑表达式及真值表可知,电路为正确的图2-7 模拟波形仿真图三版图设计3.1总体版图设计及DRC验证3.1.1数据选择器版图设计步骤(1)新建文件夹:在电脑本地磁盘新建文件夹,文件夹名为shuju。
基于VHDL的数值比较器、数据选择器、移位寄存器、60进制计数器、复杂ALU设计实验报告VHDL实验报告班级:电子学号:姓名:2014/5/23Experiment 1 两位二进制数的大小比较器一、实验目的:(1)熟悉QuartusII的开发环境、熟练掌握编程开发流程。
(2)学习VHDL的基本语法及编程设计。
二、实验内容:数值比较器设计三、实验要求:(1)熟练掌握QuartusII开发环境下对可编程逻辑器件进行程序化设计的整套流程;2)设计输入使用插入语言模板(Insert Template); ((3)在QuartusII开发环境下对设计程序进行时序仿真,将生成的配置文件下载到实验板,进行最终的实物测试验证。
四、实验原理:根据两位二进制数的大小得到对应的比较结果,其电路示意图及电路特性表为: 比较器特性表A B In_s In_l In_e 比较器电路示意图YsYe YlY A > B × × × 0 0 1A[3:0] Yl Number B[3:0] A < B × × × 1 0 0 Ye A = B 0 1 0 0 0 1 In_sYs Comparer In_l A = B 1 0 0 1 0 0 In_e A = B 0 0 1 0 1 0 A = B 0 0 0 × × × A = B × 1 1 × × × A = B 1 × 1 × × × A = B 1 1 × × × ×五、程序编写、调试及仿真(芯片型号:MAX?系列EPM1270T144C5) (1)程序编写:library ieee;use ieee.std_logic_1164.all;2entity Vhdl1 isport(a,b:in std_logic_vector(3 downto 0);ins,inl,ine: in std_logic;ys,ye,yl: out std_logic);end Vhdl1;architecture one of Vhdl1 issignal temps,tempe:std_logic; beginys<=temps;ye<=tempe;yl<=temps nor tempe;process(a,b,ine)beginif (a=b and ine='1')thentempe<='1';elsetempe<='0';end if;end process;process(a,b,ins)beginif(a<b) thentemps<='1';elsif(a=b and ins='1') then temps<='1';elsetemps<='0';end if;end process;end one;(2)功能仿真:3(3)芯片引脚设定:(4)适配下载结果六、结果分析本实验实现了两位二进制数的比较。
4.4.3数据选择器(MUX)■数据选择器原理■集成数据选择器■数据选择器扩展■数据选择器应用(MUX-Multiplexer)11.数据选择器原理数据选择器功能: 将多路输入数据中由n位通道选择信号确定的其中一路数据传送到输出端。
又称为“多路选择器”或“多路(数字)开关”。
数D 0据D1输入DN-1同相或Y 反相输出数据选择器功能示意图2 n位通道选择信号(N=2n)…F S 1 4-1MUX S 0D 0 D 1D 2 D 3数据选择器原理例: 一种4-1MUX 的功能表逻辑符号:输出表达式:F = S 1S 0 D 0S 1S 0 D 1 S 1S 0 D 2S 1S 0 D 3= m 0 D 0 + m 1D 1 3+ m 2 D 2 + m 3D 3= ∑m i D ii =0(其中m i 是由通道选择信号S 1,S 0构成的最小项)S 1 S 0 F 0 0 0 1 1 0 1 1D 0 D 1 D 2 D 332n -1 MUX 的输出信号一般表达式:MUX 的输出信号一般表达式F = m 0 D 02 n -1m 1D 1+ ⋅ ⋅ ⋅ + m 2 n -1D 2 n -1 = ∑ i = 0m i D i(其中m i 是n 位通道选择信号构成的最小项)42. 集成数据选择器例:8-1 MUX 74151输出表达式为:功 能 表通道选择 使能 输 出 S 2 S 1 S 0E Y Y XXX1 0 1 0 0 0 0 D 0 D 0 Y = E (∑ m i D i )0 0 1 0 D 1 D 1 0 1 0 0 D 2 D 2 i =00 1 1 0 D 3 D 3 (m i 是S 2,S 1,S 0构成 1 0 0 0 D 4 D 4 的最小项)1 0 1 0 D 5 D 5 1 1 0 0 D 6 D 6 111D 7D 75774L S15174L S 2 S 1 S 074151逻辑符号与引脚排列6YY D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 EV ccD 4 D 5 D 6 D 7 S 0 S 1 S 29 8 16 1 D 3 D 2 D 1 D 0 Y Y G GND 74HC15174HC151具有三态输出的集成数据选择器例:8-1 MUX 74251功能表通道选择使能输出S2S1S0 E Y Y(Z:高阻态) X X X 1 Z Z0 0 0 0 D0D00 0 1 0 D1D10 1 0 0 D2D20 1 1 0 D3D31 0 0 0 D4D41 0 1 0 D5D51 1 0 0 D6D61 1 1 0 D7D77G GA2A1AA2A1AA3A2A1A 0D≥1&YE13.数据选择器扩展- 通道扩展例:用2片74151扩展成16-1MUXY YD15D14D13D12D11D10D9D8S3S2S1SD7 D6D5D4D3D2 D1 08Y74151(1)D7D6D5 D4 D3 D2 D1 D0S2 S1 S0EYY74151(2)D7D6D5D4D3D2D1DS2 S1 S08-1 MUXD 0 D 1数据选择器扩展- 位扩展例:两位数的8-1 数据选择电路 I 00 I 01Y 0I 07I 10 I 11I 17 Y 13S 2~ S 0 E9D 0 D 18-1 MUXD 7 S 2~S 0ED 7 S 2~S 0ES 2 S 1 S 0Y 1 Y 0 0 0 0 I 10 I 00 0 0 1 I 11 I 01 0 1 0 I 12 I 02 0 1 1 I 13 I 03 1 0 0 I 14 I 04 1 0 1 I 15 I 05 1 1 0 I 16 I 06 1 1 1I 17 I 07Y 2 Y 3 Y 4 Y 5 Y 6Y 7Y 0 DEMUX Y 1I 0 I 1 I 2 I 3 I 4 I 54. 数据选择器应用-多通道数据传输例:公共数据线S 2 S 1 S 0A 2 A 1 A 0利用数据选择器与数据分配器实现多路数据的分时传输1-8 Y DI 6I 7 S 2S 1S 0A 2A 1A 0 8-1 MUX数据选择器应用-实现逻辑函数任何逻辑函数都可表示成最小项之和形式:F = ∑ im i (此 m i 是由F 的输入变量构成的最小项)MUX的输出表达式: Y =2n -1i =0m i D i(此m i 是由通道选择信号构成的最小项)◆若可附加门电路,则具有n 个通道选择端的MUX 可实现n+1 个变量甚或多于n+1个变量的逻辑函数。
设计性实验:数据选择器及其应用数据选择器是一种电子元件,其功能是允许用户从多个输入数据中选择一种或多种输出数据。
在电路设计、数字信号处理、计算机网络等领域,数据选择器都被广泛应用。
实验目的:1、了解数据选择器的原理和应用;2、掌握数据选择器的基本参数;3、设计并实现一个数据选择器,测试并验证其性能。
实验仪器和器材:电源,示波器,万用表,数据选择器芯片,电容、电阻、开关、继电器等电子元件,面包板,导线等。
实验步骤:1、准备电路:根据数据选择器的型号与数据参数,设计电路图。
本次实验使用的是74LS157 数据选择器芯片,其包含 4 个双端口 2-to-1 数据选择器,即包含 8 位输入端口、2 位输出端口和 2 位控制端口。
因此,我们的电路图应该包括以上元素。
2、搭建电路:将准备好的电路图转移到面包板上,按照电路原理图连接电子元件,接口、开关、继电器等需要连接的地方一定要注意细节。
搭建完电路后,检查一遍是否有误接或短路的问题。
3、连接电源和示波器:接上电源,示波器分别连接“输入”、“输出”或“控制”处,不要忘记设置电压和频率等参数,调整好示波器的电压和时间基准。
4、测试电路性能:打开电源,使用示波器调试,依次测量输入、输出和控制电压的波形,并对其进行分析。
再使用万用表等仪器测量相关电路参数,如输入输出电阻、输出电流等,以检验电路性能是否正常。
5、提高电路性能:如果发现参观不佳,可以根据实际需要进行优化。
例如,加入补偿电容,调节控制器、激励电压等方式进行提高。
实验过程中需要注意的几点:1、搭建电路时一定要注意细节,避免误接或短路的问题;2、测试电路时要按照设计参数进行测试,若有出入要及时调整;3、在调试过程中,要注意电源的安全问题,避免触电或过电流等事故发生。
实验结果分析:在这次实验中,我们成功地设计制备了一个数据选择器,并检测出其基本性能指标,例如输入输出电阻、输出电流等参数。
得到的结果表明,该数据选择器具有良好的可靠性和稳定性,可以满足实际应用需要。
数字集成器件的种类与特点数字集成器件是一种将数字电路功能集成在单个芯片上的电子器件。
它由数字逻辑门电路、存储器、时钟电路和输入输出接口等组成。
数字集成器件的种类很多,常见的有逻辑门、触发器、计数器、存储器和数据选择器等。
每种数字集成器件都有其特点和应用范围。
逻辑门是数字集成器件的基础,它根据输入的电平信号产生相应的输出电平信号。
常见的逻辑门有与门、或门、非门、与非门、或非门和异或门等。
逻辑门的特点是具有简单的结构和高速的响应速度,可以实现各种逻辑运算和控制功能。
逻辑门广泛应用于数字电路中,如计算机、通信设备和工控系统等。
触发器是一种用于存储和传递信息的数字集成器件。
触发器的特点是具有稳定的存储功能和较快的响应速度。
触发器可以分为RS触发器、D触发器、JK触发器和T触发器等。
触发器广泛应用于时序电路和存储器等数字系统中,如时钟模块、计数器和寄存器等。
计数器是一种用于计数和记忆次数的数字集成器件。
计数器的特点是具有稳定的计数功能和高速的计数速度。
计数器可以分为二进制计数器、十进制计数器和分频器等。
计数器广泛应用于计数器、时钟分频器和频率合成器等数字系统中。
存储器是一种用于存储和读取信息的数字集成器件。
存储器的特点是具有大容量的存储空间和快速的读写速度。
存储器可以分为随机存储器(RAM)和只读存储器(ROM)等。
存储器广泛应用于计算机和通信设备等数字系统中,如内存、硬盘和闪存等。
数据选择器是一种用于选择和传递数据的数字集成器件。
数据选择器的特点是具有多路选择和高速传输的功能。
数据选择器可以分为多路选择器和多路复用器等。
数据选择器广泛应用于多路选择器、数据交换机和数据选择器等数字系统中。
数字集成器件是一种将数字电路功能集成在单个芯片上的电子器件。
它具有逻辑运算、存储和传输等功能。
不同种类的数字集成器件具有不同的特点和应用范围。
透过数字集成器件的研究和应用,可以实现数字系统的高性能和高可靠性。
随着科技的不断发展,数字集成器件的种类和功能将会进一步扩展和完善,为数字系统的发展提供更多可能性。