实验六
- 格式:doc
- 大小:583.00 KB
- 文档页数:13
实验六多相流流动特性冷模实验装置1、教学目的与要求:在化工过程及有关工业生产中,多相混合工艺占有很重要的地位,而其中最常用的混合设备是固-液体系搅拌槽。
固-液体系搅拌槽在采矿、食品、石油化工、造纸、能源工业、城市及工业废水处理中的得到了极为广泛的应用。
固液悬浮技术研究的重点是如何以最小的能耗获得所需要的悬浮效果。
衡量一个搅拌桨悬浮效果好坏的最主要判据是:使固体粒子离底悬浮的最低搅拌功率Pjs。
本试验的重点是测量使固体粒子离底悬浮的最低搅拌转速Njs,通过计算得出搅拌功率Pjs。
实验目的有两点:(1) 测定搅拌桨安装高度变化对悬浮效果的影响。
(2) 了解挡板对Pjs的影响。
2. 基本原理:离底悬浮的最低搅拌转速Njs定义为:使固体粒子在搅拌槽底静止时间小于2秒时所需的搅拌转速。
在一定范围内,搅拌桨安装高度对固-液悬浮效果有明显的影响,安装位置越高,Njs 越大。
输入功率定义为搅拌桨提供给流体的实际功率。
3. 实验装置:本实验装置简图如图1所示:如简图中所示:电机及减速机(4)的转速由调频器(3)来控制。
本实验装置电机转速:1400rpm,功率:1.1kW,减速机速比:i=2.64;搅拌轴最高允许转速:378rpm。
【对应的电机转速Nmotor=1000rpm】空转转速不允许超过20rpm,且空转时间不允许超过1分钟。
图1 搅拌实验装置流程图1——反光镜2——转速计(备用)3——调频器4——电机及减速机5——搅拌轴6——搅拌槽7——挡板8——搅拌桨实验条件:液相:自来水;搅拌槽直径T=0.28m,CBY桨直径0.092m。
液位高度:0.28m。
固相:树脂。
4. 实验方法:(1)转速的测定本实验搅拌转速通过读取变频器显示面板上的电机转速Nmotor除以减速机速比i得到。
N=Nmotor/2.64 (rpm)Njs的测定Njs的测定采用观察法。
调节搅拌转速[由高向低],通过反光镜观察的固体粒子在槽底的静止时间。
实验六微生物细胞大小的测定一、目的要求1.学会测微尺的使用和计算方法。
2.掌握酵母菌细胞大小测定的方法。
二、基本原理微生物细胞大小, 是微生物的形态特征之一,也是分类鉴定的依据之一。
由于菌体很小, 只能在显微镜下测量。
用来测量微生物细胞大小的工具有目镜测微尺和镜台测微尺。
镜台测微尺是中央部分刻有精确等分线的载玻片。
一般将1mm等分为100格(或2mm等分为200格),每格长度等于0.01mm(即106μm)。
是专用于校正目镜测微尺每格长度的。
目镜测微尺是一块可放在接目镜内的隔板上的圆形小玻片, 其中央刻有精确的刻度, 有等分50小格或100小格两种, 每5小格间有一长线相隔。
由于所用接目镜放大倍数和接物镜放大倍数的不同, 目镜测微尺每小格所代表的实际长度也就不同, 因此,目镜测微尺不能直接用来测量微生物的大小, 在使用前必须用镜台测微尺进行校正, 以求得在一定放大倍数的接目镜和接物镜下该目镜测微尺每小格的相对值, 然后才可用来测量微生物的大小。
三、器材枯草芽孢杆菌染色玻片标本, 目镜测微尺, 镜台测微尺, 显微镜, 擦镜纸, 香柏油等。
四、操作步骤1. 目镜测微尺的标定(1)放置目镜测微尺取出接目镜, 旋开接目镜透镜, 将目镜测微尺的刻度朝下放在接目镜筒内的隔板上(图Ⅳ-4, B), 然后旋上接目透镜, 最后将此接目镜插入镜筒内(图Ⅳ-4, C)。
(2)放置镜台测微尺将镜台测微尺置于显微镜的载物台上, 使刻度面朝上。
(3)校正目镜测微尺先用低倍镜观察, 对准焦距, 当看清镜台测微尺后, 转动接目镜, 使目镜测微尺的刻度与镜台测微尺的刻度平行, 移动推动器, 使目镜测微尺和镜台测微尺的某一区间的两对刻度线完全重合, 然后计数出两对重合线之间各自所占的格数(图Ⅳ-6)。
根据计数得到的目镜测微尺和镜台测微尺重合线之间各自所占的格数, 通过如下公式换算出目镜测微尺每小格所代表的实际长度。
目镜测微尺每小格长度(μm)=同法校正在高倍镜和油镜下目镜测微尺每小格所代表的长度。
最新实验六(实验报告)实验目的:本次实验旨在探究特定物质在不同条件下的反应特性,以及通过实验数据分析物质的性质和变化规律。
通过对实验过程的观察和结果的记录,加深对理论知识的理解,并提高实验操作技能。
实验材料:1. 试样:待测物质样品2. 试剂:所需的化学反应试剂3. 仪器:天平、烧杯、量筒、滴定管、温度计、pH计、光谱仪等实验步骤:1. 准备阶段:根据实验要求,准确称取适量的试样和试剂,准备好所有实验仪器。
2. 实验操作:按照实验指导书的步骤,进行化学反应操作,记录下每个步骤的具体条件,如温度、pH值、反应时间等。
3. 数据收集:对反应过程中产生的数据进行收集,包括但不限于颜色变化、沉淀形成、气泡产生等。
4. 结果分析:根据收集到的数据,分析反应过程中物质的变化,以及反应的动力学特征。
5. 结论撰写:根据实验结果,撰写实验结论,总结物质的性质和反应特点。
实验结果:1. 反应速率:通过观察和记录,发现在特定条件下,反应速率与预期相符,具体数据见附录。
2. 产物分析:实验中产生的主要产物为X和Y,通过光谱分析确认了其结构。
3. 副反应:在实验过程中,未观察到明显的副反应现象。
4. 影响因素:实验中发现温度和pH值对反应速率有显著影响。
实验讨论:本次实验中,反应的速率和产物与理论预测基本一致,但在实际操作中存在一定的误差,可能的原因包括实验操作的不精确、环境条件的波动等。
未来可以通过改进实验方法和控制实验条件来减少误差。
结论:通过本次实验,我们成功地研究了特定物质在不同条件下的反应特性,并通过数据分析得到了物质的性质和反应规律。
实验结果对理解相关化学反应机制具有重要意义,并为进一步的实验研究提供了基础。
实验六:观察人血永久涂片一、实验目的:能够运用显微镜观察人血永久涂片,识别红细胞、白细胞和血小板。
二、操作步骤:操作内容操作细则取用器材1、一手握镜臂,一手托镜座,镜筒向前,镜臂向后,将显微镜从显微镜箱中取出;将显微镜安放在实验台距身前边缘7cm左右处,略偏左。
安装镜头2、安装好物镜和目镜。
对光3、转动粗准焦螺旋,使镜筒上升。
4、转动转换器,使低倍物镜正对通光孔。
5、转动遮光器,选择较大光圈对准通光孔。
6、左眼注视目镜内,右眼睁开,用手转动反光镜(要能根据光线强弱选择镜面),看到明亮视野。
用低倍镜观察人血永久涂片7、用洁净的纱布将人血永久涂片擦干净后放在载物台上,使涂片尽量正对通光孔的中心,用压片夹固定。
8、两眼从一侧注视物镜;双手顺时针方向转动粗准焦螺旋;使镜筒徐徐下降,直到物镜头接近涂片为止。
9、两眼睁开,左眼注视目镜;双手逆时针方向转动粗准焦螺旋,使镜筒慢慢上升,直至看到物像;若物像不在视野中央,移动装片使物像移到视野中央。
识别红细胞、白细胞和血小板10、能够清晰的看到物像,并能分辨出红细胞、白细胞和血小板,必要时可转动细准焦螺旋。
整理器材11、实验结束后,先提升镜筒,取下人血永久涂片、目镜,盖上镜头盖,转动转换器,把两物镜偏到通光孔两旁,使镜筒降到最低位置,反光镜竖立存放,显微镜外表用纱布擦拭,镜头用擦镜纸擦拭,将显微镜放回显微镜箱。
12、整理好仪器,清理好桌面,将人血永久涂片放回原处并合理存放废品(整理不到位要扣分)。
三、操作注意事项1、安放显微镜中的“7cm”是指距身前的边缘,而非实验台左侧边缘,这个距离与手掌的宽度相仿;2、手绝对不能接触目镜和物镜镜头的玻璃部分,镜头只能用擦镜纸擦拭;3、不能用手扳物镜转换镜头,要转动转换器(安装镜头的部位,大金属圆盘);4、对光后会看到视野特别明亮,打开实验台上的灯对光后甚至会很耀眼;5、对光后,不能移动显微镜;6、不能单手转动准焦螺旋,尽量不在高倍镜下转粗准焦螺旋;7、安放涂片时,需要用两个压片夹压住涂片;8、由于血液中白细胞的数量最少,需要学生认真的全方位的观察人血永久涂片;9、区分开杂质和红细胞、白细胞、血小板。
实验六 帧同步一、实验目的1.掌握集中插入式帧同步码识别器工作原理。
2.掌握同步保护原理。
3.掌握假同步、漏同步、捕捉态〔失步态〕、维持态〔同步态〕概念。
二、实验原理在时分复用通信系统中,为了正确地传输信息,必须在信息码流中插入一定数量的帧同步码。
帧同步码可以集中插入,也可以分散插入。
本实验系统中帧同步码为7位巴克码,集中插入到每帧的第2至第8个码元位置上。
帧同步模块的原理框图如图6-1所示。
本模块使用+5v 电压。
从总体上看,本模块可分为巴克码识别器及同步保护两部分。
巴克码识别器包括移位寄存器、相加器和判决器,图6-1中的其余部分完成同步保护功能。
移位寄存器由两片74175组成,移位时钟信号是位同步信号。
当7位巴克码全部进入移位寄存器时,U50的4321,,,Q Q Q Q 及U51的432,,Q Q Q 都为1,它们输入到相加器U52的数据输入端D0~D6,U52的输出端Y0、Y1、Y2都为1,表示输入端为7个l 。
假设100012 Y Y Y 时,表示输入端有4个l ,依此类推,012Y Y Y 的不同状态表示了U52输入端为1的个数。
判决器U53有6个输入端。
IN2、IN1、IN0分别与U52的Y2、Y1、Y0相连,L2、L1、L0与判决门限控制电压相连,L2、L1已设置为1,而L0由同步保护部分控制,可能为1也可能为0。
在帧同步模块电路中有三个发光三极管指示灯P1、P2、P3与判决门限控制电压相对应,即从左到右与L2、L1、L0一一对应,灯亮对应1,灯熄对应0。
判决电平测试点TH 就是L0信号,它与最右边的指示灯P3状态相对应。
当L2L1L0=111时门限为7 ,三个灯全亮,TH 为高电平;当L2L1L0=110时门限为6,P1和P2亮,而P3熄,TH 为低电平。
当U52输入端为l 的个数〔即U53的IN2 IN1 IN0〕大于或等于判决门限于L2L1L0,识别器就会输出一个脉冲信号。
实验六验证机械能守恒定律验证机械能守恒定律。
1.在只有重力做功的自由落体运动中,物体的重力势能和动能互相转化,但总的机械能保持不变。
若物体某时刻瞬时速度为v,下落高度为h,则重力势能的减少量为mgh,动能的增加量为12m v2,看它们在实验误差允许的范围内是否相等,若相等则验证了机械能守恒定律。
2.速度的测量:做匀变速直线运动的物体某段位移中间时刻的瞬时速度等于这段位移的平均速度。
计算打第n点速度的方法:测出第n点与相邻前后点间的距离x n和x n+1,由公式v n=x n+x n+12T计算,或测出第n-1点和第n+1点与起始点的距离h n-1和h n+1,由公式v n=h n+1-h n-12T算出,如图所示。
铁架台(含铁夹),打点计时器,学生电源,纸带,复写纸,导线,毫米刻度尺,重物(带纸带夹)。
1.安装置:如图所示,将检查、调整好的打点计时器竖直固定在铁架台上,接好电路。
2.打纸带:将纸带的一端用夹子固定在重物上,另一端穿过打点计时器的限位孔,用手提着纸带使重物静止在靠近打点计时器的地方。
先接通电源,后松开纸带,让重物带着纸带自由下落。
更换纸带重复做3~5次实验。
3.选纸带:分两种情况说明(1)用12m v2n=mgh n验证时,应选点迹清晰,且第1、2两点间距离接近2 mm的纸带。
若第1、2两点间的距离大于2 mm,则可能是由于先释放纸带后接通电源造成的。
这样,第1个点就不是运动的起始点了,这样的纸带不能选。
(2)用12m v2B-12m v2A=mgΔh验证时,处理纸带时不必从起始点开始计算重力势能的大小,这样,纸带上打出的起始点O后的第一个0.02 s内的位移是否接近2 mm,以及第一个点是否清晰也就无关紧要了,实验打出的任何一条纸带,只要后面的点迹清晰,都可以用来验证机械能守恒定律。
1.测量计算在起始点标上0,在以后各计数点依次标上1、2、3…,用刻度尺测出对应下落高度h1、h2、h3…。
实验六、配合物的生成、性质与应用一、实验目的1、了解几种不同类型的配合物的生成,比较配合物与简单化合物和复盐的区别.2、了解影响配合平衡移动的因素。
3、了解螯和物的形成条件。
4、熟悉过滤和试管的使用等基本操作。
二、实验原理由中心离子(或原子)和一定数目的中性分子或阴离子通过形成配位共价键相结合而成的复杂结构单元称配合单元,凡是由配合单元组成的化合物称配位化合物。
在配合物中,中心离子已体现不出其游离存在时的性质。
而在简单化合物或复盐的溶液中,各种离子都能体现出游离离子的性质。
由此,可以区分出有否配合物存在。
配合物在水溶液中存在有配合平衡:M n+ + aL—→ Ml a n-a配合物的稳定性可用平衡常数KΘ稳来衡量。
根据化学平衡的知识可知,增加配体或金属离子浓度有利于配合物的形成,而降低配体或金属离子浓度有利于配合物的解离.因此,弱酸或弱碱作为配体时,溶液酸碱性的改变会导致配合物的解离。
若有沉淀剂能与中心离子形成沉淀反应,则会减少中心离子的浓度,使配合平衡朝解离的方向移动,最终导致配合物的解离。
若另加入一种配体,能与中心离子形成稳定性较好的配合物,则又可能使沉淀溶解.总之,配合平衡与沉淀平衡的关系是朝着生成更难解离或更难溶解的物质的方向移动.中心离子与配体结合形成配合物后,由于中心离子的浓度发生了改变,因此电极电势数值也改变,从而改变了中心离子的氧化还原能力。
中心离子与多基配体反应可生成具有环状结构的稳定性很好的螯和物。
很多金属螯和物具有特征颜色,且难溶于水而易溶于有机溶剂.有些特征反应长用来作为金属例子的鉴定反应.三、仪器和药品仪器:试管,试管架,离心试管,漏斗,漏斗架,白瓷点滴板,离心机,滤纸药品: 2 mol·L—1 H2SO4;2mol·L—1NH3·H2O,6mol·L—1 NH3·H2O ,0.1 mol·L-1 NaOH,2mol·L—1NaOH.0。
EDA实验报告班级:通工0905班姓名:赵鹏班内序号: 17实验6 触发器设计一、实验目的(1)认识RS触发器、JK触发器、D触发器和T触发器。
(2)掌握RS触发器、JK触发器、D触发器和T触发器的逻辑功能及动作特点。
(3)能够通过CPLD开发实现具有触发器功能的数字电路。
二、实验器材PC、可编程逻辑实验电路板、下载线、USB电源线、双踪示波器、数字万用表、导线若干。
三、实验原理1 钟控RS触发器源代码:library ieee;use ieee.std_logic_1164.all;entity rschufa isport(clk,R,S:in std_logic;y:out std_logic);end;architecture rschufa1 of rschufa issignal temp:std_logic;beginprocess(clk,R,S)beginif(clk='1')thenif((R='1')and(S='0'))thentemp<='0';elsif((R='0')and(S='1'))then temp<='1';elsif((R='1')and(S='1'))thentemp<=temp;elsif((R='0')and(S='0'))then temp<=temp;else temp<=temp;end if;end if;end process;y<=temp;end;2 钟控JK触发器源代码:library ieee;use ieee.std_logic_1164.all; entity jkchufa isport(PR,CLR,CP,J,K:in std_logic;y:out std_logic);end;architecture jkchufa1 of jkchufa is signal temp:std_logic;beginprocess(CP,J,K,PR,CLR)beginif(PR='0')thentemp<='1';elsif(PR='1'and CLR='0')thentemp<='0';elsif( CP'event and CP='1')then if(J='0'and K='0')thentemp<=temp;elsif(J='0'and K='1')thentemp<='1';elsif(J='1'and K='0')thentemp<='0';elsif(J='0'and K='1')thentemp<=not temp;end if;end if;end process;y<=temp;end;3 D触发器library ieee;use ieee.std_logic_1164.all;entity dchufa isport(PR,CLR,CLK,D:in std_logic;y:out std_logic);end;architecture dchufa1 of dchufa is signal temp:std_logic;beginprocess(CLK,D,PR,CLR)beginif(PR='0')thentemp<='1';elsif(PR='1'and CLR='0')thentemp<='0';elsif(CLK'event and CLK='1')then if(D='1')thentemp<='1';elsif(D='0')thentemp<='0';elsif(CLK='0')thentemp<=temp;end if;end if;end process;y<=temp;end;四、实验内容在MAX+plus п环境下,用VHDL语言按照:输入→编译→仿真→分配管脚→编译→下载的步骤实现下列电路。
(1)钟控RS触发器。
要求设计一个电路,通过MAX+plus п仿真和CPLD实现来验证RS触发器的逻辑功能,并掌握其动作特点。
所设计的RS触发器如图所示:钟控RS触发器功能表见表:(2)钟控JK触发器。
要求设计一个电路,通过MAX+plus п仿真和CPLD实现来验证JK触发器的逻辑功能,并掌握其动作特点。
所设计的JK触发器如图所示:(3)钟控D触发器。
要求设计一个电路,通过MAX+plus п仿真和CPLD实现来验证D触发器的逻辑功能,并掌握其动作特点。
所设计的D触发器如图所示:钟控D触发器功能表见表:五、实验过程与结果1 钟控RS触发器(1)源代码:library ieee;use ieee.std_logic_1164.all;entity rschufa isport(clk,R,S:in std_logic;y:out std_logic);end;architecture rschufa1 of rschufa is signal temp:std_logic;beginprocess(clk,R,S)beginif(clk='1')thenif((R='1')and(S='0'))thentemp<='0';elsif((R='0')and(S='1'))then temp<='1';elsif((R='1')and(S='1'))thentemp<=temp;elsif((R='0')and(S='0'))then temp<=temp;else temp<=temp;end if;end if;end process;y<=temp;end;(2)仿真波形:2、JK触发器(1)源代码:library ieee;use ieee.std_logic_1164.all; entity jkchufa isport(PR,CLR,CP,J,K:in std_logic;y:out std_logic);end;architecture jkchufa1 of jkchufa is signal temp:std_logic;beginprocess(CP,J,K,PR,CLR)beginif(PR='0')thentemp<='1';elsif(PR='1'and CLR='0')thentemp<='0';elsif( CP'event and CP='1')thenif(J='0'and K='0')thentemp<=temp;elsif(J='0'and K='1')thentemp<='1';elsif(J='1'and K='0')thentemp<='0';elsif(J='0'and K='1')thentemp<=not temp;end if;end if;end process;y<=temp;end;(2)仿真波形:3 、D触发器(1)源代码:library ieee;use ieee.std_logic_1164.all;entity dchufa isport(PR,CLR,CLK,D:in std_logic;y:out std_logic);end;architecture dchufa1 of dchufa is signal temp:std_logic;beginprocess(CLK,D,PR,CLR)beginif(PR='0')thentemp<='1';elsif(PR='1'and CLR='0')thentemp<='0';elsif(CLK'event and CLK='1')then if(D='1')thentemp<='1';elsif(D='0')thentemp<='0';elsif(CLK='0')thentemp<=temp;end if;end if;end process;y<=temp;end;(2)仿真波形:六、实验心得与体会通过这次实验学会了用VHDL语言描写集成触发器,如钟控RS 触发器、JK触发器、D触发器等。
在本次实验的编程中主要加入了时钟控制信号和“异步置位/复位”的功能的实现。
对VHDL语言的使用更加熟悉,同时也加深了对集成触发器,如钟控RS触发器、JK触发器、D触发器逻辑功能和动作特点的认识。