DSP Builder的功能和流程
- 格式:doc
- 大小:121.50 KB
- 文档页数:2
DSP Builder系统设计工具DSP Builder是Altera推出的一个数字信号处理(DSP)开发工具,它在Quartus Ⅱ FPGA设计环境中集成了MathWorks的Matlab和Simulink DSP开发软件。
Altera的DSP系统体系解决方案是一项具有开创性的解决方案,它将FPGA的应用领域从多通道高性能信号处理扩展到很广泛的基于主流DSP的应用,是Altera第一款基于C代码的可编程逻辑设计流程。
在Altera基于C代码的DSP设计流程中,设计者编写在Nios Ⅱ嵌入处理器上运行的C代码。
为了优化DSP算法的实现,设计者可以使用由Matlab和Simulink工具开发的专用DSP指令。
这些专用指令通过Altera的DSP Builder和SOPC Builder工具集成到可重配置的DSP设计中。
对DSP设计者而言,与以往FPGA厂商所需的传统的基于硬件描述语言(HDL)的设计相比,这种流程会更快、更容易。
除了全新的具有软件和硬件开发优势的设计流程之外,Altera DSP系统体系解决方案还引入了先进的S tratix和Stratix Ⅱ系列FPGA开发平台。
Stratix器件是Altera第一款提供嵌入式DSP块的FPGA,其中包括能够有效完成高性能DSP功能的乘法累加器(MAC)结构。
Stratix Ⅱ FPGA能够提供比Stratix器件高四倍的DSP带宽,更适合于超高性能DSP应用。
6.1 DSP Builder安装6.1.1 软件要求使用DSP Builder创建HDL设计需要有下面的软件支持:? Matlab 6.1或6.5版本;? Simulink4.1或5.0版本;? Quartus Ⅱ 2.0以上版本;? Synplify 7.2以上版本或LeonardoSpectrum 2002c以上版本(综合工具);? ModelSim5.5以上版本(仿真工具)。
6.1.2 DSP Builder软件的安装在安装DSP Builder之前,首先安装Matlab和Simulink软件以及Quartus Ⅱ软件。
1.说明DSP Builder的主要功能DSP Builder在算法友好的开发环境中帮助设计人员生成DSP设计硬件表征,从而缩短了DSP设计周期。
已有的MATLAB函数和Simulink模块可以和Altera DSP Builder模块以及Altera知识产权(IP)MegaCore功能相结合,将系统级设计实现和DSP 算法开发相链接。
DSP Builder支持系统、算法和硬件设计共享一个公共开发平台。
设计人员可以使用DSP Builder模块迅速生成Simulink系统建模硬件。
DSP Builder包括比特和周期精度的Simulink模块,涵盖了算法和存储功能等基本操作。
可以使用DSP Builder模型中的MegaCore功能实现复杂功能的集成。
Altera还提供DSP Builder高级模块集,这一Simulink库实现了时序驱动的Simulink综合。
Altera MegaCore是高级参数化IP功能,例如有限冲击响应(FIR)滤波器和快速傅立叶变换(FFT)等,经过配置能够迅速方便的达到系统性能要求。
MegaCore功能支持Altera的IP评估特性,使您在购买许可之前,便可以验证功能及其时序。
Altera IP MegaStore网站上为DSP Builder和IP评估流程提供DSP IP完整介绍DSP Builder SignalCompiler模块读取由DSP Builder和MegaCore模块构建的Simulink建模文件(.mdl),生成VHDL文件和工具命令语言(Tcl)脚本,进行综合、硬件实施和仿真。
图示为DSP Builder设计流程。
DSP Builder是一个系统级(或算法级)设计工具,它构架在多个软件工具之上,并把系统级和RTL级两个设计领域的设计工具连接起来,最大程度地发挥了两种工具的优势【10】。
DSP Builder依赖于Math Works公司的数学分析工具Matlab/Simulink,以Simulink的Blockset出现,可以在Simulink中进行图形化设计和仿真,同时又通过Signal Compiler可以把Matlab/Simulink的设计文件(.mdl)转成相应的硬件描述语言VHDL设计文件(.vhd),以及用于控制综合与编译的TCL脚本。
基于Quartus2组件DSP_builder 设计DDS 信号发生器说明:Quartus2中DSP_builder 组件建立了Quartus2与Matlab 的无缝链接,这样极大的有利于FPGA 在信号处理中的应用,本次课题旨在通过建立一个信号发生器来说明DSP_builder 的强大之处。
传统的DDS 信号发生器的设计相对比较复杂<包括相位累加器,地址查找表,D/A ),通过传统的编程思想,会比较复杂,DSP_builder 则是通过simulink 中的Altera 库,直接构建DDS 模型,再通过signal complier 生成VHDL 语言以及仿真所用的测试脚本<testbench 文件),非常方便,并通过simulink 和FPGA 的仿真工具Modelsim_Atera 一起做了对比,两者吻合,达到了预期效果。
b5E2RGbCAP 1.在Simulink 中构建DDS 模型2.Simulink 下的仿真如图所示:Signal Compiler3.RTL级仿真<modelsim 仿真):4.RTL 级视图-200-150-100-5050100150200050100150200250300350400450500-2-1.5-1-0.50.511.52附:.vhl代码-- sinwafe_GN.vhdlibrary IEEE。
use IEEE.std_logic_1164.all。
use IEEE.numeric_std.all。
entity sinwafe_GN isport (Output : out std_logic_vector(7 downto 0>。
-- Output.wirep1EanqFDPwInput : in std_logic_vector(0 downto 0> := (others => '0'>。
DSPbuilder安装指南(以9.1为例)1.前期准备⾸先说⼀下,我⽤的是dsp builder 9.1 +QII 9.1 SP1+ MATLAB R2009A⽹上没有dsp9.1sp1的破解,我是直接⽤9.1的,发现这样配也能正常⼯作DSP Builder 不是独⽴的软件,在安装 DSP Builder 之前需安装以下软件1.MATLAB软件,必须安装 Simulink组件。
2.安装Quartus II软件安装DSP Builder,安装程序中包含的脚本会⾃动关联Quartus II 和Matlab。
注意三个软件要相互匹配,这⼉给个官⽅的要求:2.安装DSP Builder⼀般步骤,⼤伙懂的直到DSP Builder 的安装结束的对话框跳出,点击finish。
3.matlab上的⼀些必要操作打开matlab 点击Simulink⼯具箱,在出现的Simulink LibraryBrowser栏中可发现多了以下两个⼯具库依次单击它们,软件需要建⽴⼯具库。
这⼉可能会碰到以下问题:1.Matlab出现很多warning ,跳出窗⼝报告出错,并⾃动退出软件处理⽅法:然后在X:\MATLAB\R2008a\toolbox\local\pathdef.m中删除与Altera有关的路径。
注意需要空⼀⾏,如下所⽰:p = [...%%% BEGIN ENTRIES %%%(空⼀⾏)matlabroot,'\toolbox\matlab\general;', ...matlabroot,'\toolbox\matlab\ops;', ...matlabroot,'\toolbox\matlab\lang;', ...matlabroot,'\toolbox\matlab\elmat;', ...matlabroot,'\toolbox\matlab\elfun;', ...之后重新安装DSP Builder2.安装完DSP Builder后,发现Altera DSP Builder Blockset库是空处理⽅法:打开..\MATLAB\R2008a\toolbox\local\matlabrc.m,在此⽂件最后加⼊代码bdclose all; set_param(0,'CharacterEncoding', 'windows-1252')重新启动matlab4.破解 DSP Builder流程1..找个匹配的DSP Builder的破解器按照要求先破解DSP Builder ⽂件。
电子131 XXX XXXXXX
1、说明DSP Builder 的主要功能
DSP Builder 是Altera 公司提供的一种DSP 系统设计工具,是Matlab/Simulink 设计工具和QuartusII 设计工具之间的一个桥梁,把Matlab/Simulink 中的DSP系统设计转化为HDL文件,在QuartusII 工具中实现到具体的器件中。
产生于Matlab\DSP Builder\Quartus II 流程的DSP 模块或其他功能模块可以成为单片FPGA 电路系统中的一个组成部分,担任某个局部电路的功能;通过Matlab\DSP Builder ,可以直接为Nios II 嵌入式处理器设计各类加速器,成为Nios II 系统的一个接口设备,与整个片内嵌入式系统融为一体。
DSP Builder 是一个系统级(或算法级)设计工具,它架构在多个软件工具之上,并把系统级和RTL 级两个设计领域的设计工具连接起来,最大程度地发挥了两种工具的优势。
2、说明DSP Builder 的设计流程 Simulink 模型仿真
综合
(Quartus II,
LeonardoSpectrum,
Synplify)
ATOM Netlist产生
Quartus II HDL仿真(ModelSim)
综合(Quartus II,LeonardoSpectrum,Synplify)Quartus II 生成编程文件
(.pof,.sof)
下载至硬件
自动流程
手动流程mdl转成
vhdl
Matlab
Simulink
建立模型
第一步是在Matlab 的Simulink 环境中建立一个mdl 模型文件,调用Altera DSP Builder 和其它Simulink 库中的图形模块(Block),构成设计框图(或称Simulink 设计模型)。
第二步是利用Simulink 强大的图形化仿真、分析功能,分析此设计模型的正确性,完成模型仿真。
在这两步中,与一般的Matlab Simulink 建模过程几乎没有什么区别,所不同的是设计模型库采用Altera DSP Builder 的Simulink 库。
第三步是DSP Builder 设计实现的关键一步,通过SignalCompiler 把Simulink 的模型文件(后缀为.mdl)转化成通用的硬件描述语言VHDL 文件(后缀为.vhd)。
由于EDA 工具软件(诸如Quartus II 、ModelSim)不能直接处理Matlab 的.mdl 文件,这就需要一个转换过程。
转换获得的HDL 文件是基于RTL 级(寄存器传输级)的VHDL 描述。
再接下来的几个步骤是对以上设计产生的VHDL 的RTL 代码和仿真文件进行综合、编译适配以及仿真。
为了针对不同用户的设计目的和设计要求,DSP Builder 提供了两种不同的设计流程,主要可以分为自动流程和手动流程。
如果采用DSP Builder 的自动流程,几乎可以忽略硬件的具体实现过程,DSP Builder
自动调用Quartus II等EDA设计软件,完成综合(Synthesis)、网表(ATOM Netlist)生成和Quartus II适配,甚至在Matlab中完成FPGA的配置下载过程。
如果希望使用其它第三方的VHDL综合器和仿真器(除Synplify、LeonardoSpectrum和Quartus II综合器及ModelSim外),或是希望完成特定的适配设置,如逻辑锁定、时序驱动编译、ESB特定功能应用等,可以选用手动流程设计。
在手动流程中,可以灵活地指定综合、适配条件。
不过,需要手动地调用VHDL综合器进行综合,调用Quartus II进行适配,调用ModelSim或者Quartus II进行仿真,最后用Quartus II产生相应的编程文件用于FPGA的配置。
3、论述Matlab、Simulink、DSP Builder、Modelsim、Q uartusⅡ几种工具之间的关系
MATLAB[1]是美国MathWorks公司出品的商业数学软件,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB和Simulink 两大部分。
Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。
Altera可编程逻辑器件(PLD)中的DSP系统设计需要高级算法和HDL开发工具。
Altera DSP Builder将The MathWorks MATLAB和Simulink系统级设计工具的算法开发、仿真和验证功能与VHDL综合、仿真和Altera开发工具整合在一起,实现了这些工具的集成。
Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。
它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC 设计的首选仿真软件。
Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。