加法计算器电路PPT
- 格式:ppt
- 大小:4.75 MB
- 文档页数:73
74ls193十进制加减减法计数器电路74LS193是一种十进制加减计数器电路,它具有广泛的应用。
本文将详细介绍74LS193的工作原理和功能特点。
1.74LS193的工作原理74LS193是一种四位二进制计数器,它可以实现十进制的加减减法操作。
通过在输入端接入由控制信号和时钟信号控制的二进制数据输入,74LS193可以根据输入信号的变化实现不同的计数操作。
2.74LS193的功能特点(1)四位计数器:74LS193是一种四位计数器,可以用来计算0到9之间的数字。
(2)加减减法功能:74LS193不仅可以进行加法运算,还可以实现减法运算。
通过控制端的输入信号,可以选择进行加法或减法操作。
(3)同步计数:74LS193采用同步计数方式,即在时钟信号的控制下,所有计数位同时进行计数,确保了计数的准确性。
(4)输出显示:74LS193的输出端有四个计数位和进位输出位,可以实时显示计数结果。
3.74LS193的应用领域(1)计数器:由于其计数功能,74LS193广泛应用于各类计数器电路中,如频率计数器、电子表、工业自动化等。
(2)加减器:由于其加减减法功能,74LS193也可以应用于数字加减运算器中,如数字计算机、计算器等。
(3)时序控制:74LS193也可以用于时序控制电路中,通过对计数信号的控制,实现时序操作,如时钟分频、频率分析等。
本文介绍了74LS193十进制加减减法计数器电路的工作原理和功能特点。
74LS193是一种四位二进制计数器,具有加减减法功能,采用同步计数方式,输出结果准确可靠。
它在计数器、加减器和时序控制电路等领域有着广泛的应用。
通过深入理解和熟练运用74LS193,我们可以设计出高效、稳定的数字电路系统,满足不同应用的需求。
摘要本次课程设计的任务是设计一个具有加减运算功能的简易计算器,并通过合适的方式来显示最后的计算结果。
此次设计电路的完成主要是利用简单的数字电路和电路逻辑运算来进行的。
简易加减计算器电路主要是对数据的输入与显示,数据的加减运算,数据的输出与显示三个主要的方面来设计研究完成的。
在输入电路的部分,我们通过开关的闭合与断开来实现数据的输入,开关闭合接入高电平“1”,断开接入低电平“0”。
而输入的数据将通过显示译码管以十进制的形式显示出来。
由于输入二进制的位数较多,我们采用个位十位分别输入的方式来简化电路。
加减运算电路则主要通过加法器来实现的。
设计电路时,我们将个位和个位、十位和十位分别接入一片加法器。
在进行加法运算时我们所选择的加法器是完全符合要求的,但是在进行减法运算时加法器就不能满足我们的设计要求了。
因此我们将减法转换为加法进行运算,运算时采用补码的形式。
在进行减法时通过异或门将减数的原码全部转换为补码,输入加法器中进行相加。
最后将进位信号加到十位的运算电路上就实现了加减法的运算电路。
在显示电路中,由加法器输出的数据是二进制码。
这些码可能表示超过十的数字,所以显示译码管就不能正确的显示出数字了。
此时要将二进制转化成BCD码,再将BCD 码送到显示译码管中就可以将计算所得的数字显示出来了。
概述1.1设计题目:简易加减计算器1.2设计任务和要求:1)用于两位以下十进制数的加减运算。
2)以合适的方式显示输入数据及计算结果。
1.3设计方案比较:方案一:输入十进制的数字,再通过编码器对十进制的数字进行编码,输出二进制的数据。
运用显示译码器对输入的数字以十进制的形式进行显示。
在进行加减计算的时候将二进制数字运用数模转换,然后再进行相加减。
然后将这些模拟信号再次转换成数字信号转换成数字信号,再将数字信号输入到显示译码管中来显示数剧。
这个方案中要进行数模转换和模数转换所需要的电路器件有些复杂,并且转换的时候需要很长的时间,而且转换以后数值的精度不高。
罗银贵班级1208105 学号1120810501实验日期节次教师签字成绩加法计算器1.实验目的通过对加法计算器的设计,掌握组合逻辑电路、时序逻辑电路的分析和设计方法;了解及掌握中规模集成电路以及各个芯片的管脚图及结构图。
掌握全加器、计数器等芯片的功能及实现方法;锻炼动手实践能力,提高自身设计能力。
2.总体设计方案或技术路线设计一个简单的加法计算器,能实现简单的加法运算,计算结果为0—16的和数,并输出到数码管。
加法运算的实现要求:用四个开关代表四位二进制数,操纵开关,输入二进制数码,则在相应的数码管上显示出相应的十进制数,即代表被加数;用同样的方法在另外的四个开关上输入二进制数,则在相应的数码管上显示相应的十进制数,即代表加数;当加数与被加数都输入后,在另外的两个数码管上出现两数的和。
3.实验电路图4.仪器设备名称、型号和技术指标直流稳压电源、试验箱、万用表;2个74LS00D、1个74LS283D、1个74LS04D、2个74LS08D、1个74LS20D;单刀双掷开关9个、导线若干。
5.理论分析或仿真分析结果开关9置0,闭合J1、J2、J3、J4相应开关输入被加数:开关9置0,闭合J5、J6、J7、J8相应开关输入加数:开关9置1,输入相应的被加数和加数,并求和,输出到另外两个数码管上:6.详细实验步骤及实验测量数据记录根据实验电路图连接好电路;检查实验电路无误后,开关9置0;闭合J1、J2、J3、J4相应开关输入被加数;闭合J5、J6、J7、J8相应开关输入加数;开关9置1,输出相应被加数与加数的和。
7.实验结论实验过程中,根据实验要求,通过单刀双掷开关J1——J8的切换来实现被加数和加数的输入,并将被加数和加数显示在相应的数码管上;将单刀双掷开关J9从清零端拨到求和端,全加器74LS283进行求和运算,输出求和计算结果,并显示在相应的数码管上。
其中,被加数、加数和求和皆能准确无误的显示在相应的数码管上,并能使加法计算器恢复到清零状态,实现计算器的清除功能。
1 设计任务描述1.1设计题目:加法运算电路1.2 设计要求1.2.1 设计目的(1) 掌握1位十进制加法运算电路的构成,原理和设计原理;(2)熟悉集成电路的使用方法。
1.2.2 基本要求(1) 设计键盘以及编码电路;(2) 设计加数寄存器A和被加数寄存器B单元;(3) 实现4bit二进制码加法的BCD调整;(3) 用数码管以十进制形式显示最后运算结果。
1.2.3 发挥部分(1) 拓展十进制减法;(2) MR存储运算中间值;(3)其他。
2 设计思路根据此次课程设计的要求,我设计的简单计算器包括两大部分:加法计算部分,减法计算部分。
其中加法计算部分由五个部分组成,键盘及编码电路、加数寄存器A和被加数寄存器B、加法运算电路、4bit二进制码加法的BCD调整和译码显示器。
减法计算部分和加法计算部分共用同一个键盘,其他部分由反相器,求补逻辑电路以及相应的译码显示器组成。
其中有几个难点:如何实现2位十进制和怎样利用寄存器把数据传输到加法器中。
因为经键盘及编码器输出的是2进制数,那么寄存器接受并输出的数据也是2进制数,所以加法器输出的数据应是8421BCD码,使显示装置最终显示十进制数。
因为1位十进制数的8421BCD码与二进制数表现形式相同,但2位十进制数的8421BCD码与二进制数不同,所以我设计的加法运算装置是由两个74S283N芯片来实现2位十进制数的输出。
原理是让第二个芯片的一个输入端接第一个芯片的输出端,另一个输入端进行对第一个芯片的运算结果进行判断,大于等于10时输入6即2进制数的0110,反之输入0。
输出结果即为2位十进制数的8421BCD码。
寄存器的设计是由一个74LS374N芯片和两个74S194N芯片组成的,其中两个74S194N芯片并联后与74LS374N芯片串联。
74LS374N芯片的脉冲由键盘的数字键提供,使得按下数字键后该寄存器就存储输入的数字,并通过译码显示器显示。
两个74S194N芯片的脉冲分别由键盘的+号和=号提供,分别存储加数与被加数并输入到第一个74S283N芯片中运算。
4位加法计算器工作原理加法计算器是一种常见的计算工具,它能够方便地完成数字的相加运算。
本文将介绍4位加法计算器的工作原理。
1. 组件介绍在了解4位加法计算器的工作原理之前,先来了解一下它的组成部分。
4位加法计算器主要由数字显示屏、按键、控制电路和存储器四部分组成。
其中,数字显示屏用于显示运算结果,按键用于输入加法运算的数字,控制电路则负责控制整个计算过程,而存储器则存储中间结果并参与运算。
2. 工作原理当我们需要进行4位数字相加的运算时,首先会通过按键输入待相加的数字。
在输入完毕后,控制电路会接收到输入的数值,并将其存储在存储器中。
然后,控制电路通过运算逻辑电路,将存储器中的数值进行相加运算。
在相加运算的过程中,控制电路会根据所设定的规则,将进位(如果有)从低位传递至高位,以确保每位数字的相加结果准确无误。
同时,控制电路还会根据需要在数字显示屏上显示中间运算结果,方便操作者进行计算。
当所有位数的相加运算完成后,控制电路将最终结果从存储器中读取,并将结果显示在数字显示屏上,供操作者查看。
3. 工作过程为了更好地理解4位加法计算器的工作原理,我们来简要描述一下它的工作过程。
首先,操作者利用按键输入待相加的4个数字。
这些数字将被存储在存储器中。
接下来,控制电路将按照设定的运算规则,将4个数字进行相加运算。
在运算过程中,如有进位,则控制电路会将进位从低位向高位传递,确保结果的准确性。
同时,控制电路会根据需要在数字显示屏上显示每一步的中间结果,方便操作者跟踪计算。
最终,当所有位数的相加运算完成后,控制电路将最终结果从存储器中读取,并将其显示在数字显示屏上。
4位加法计算器通过数字显示屏、按键、控制电路和存储器等部件的协同工作,实现了数字相加的功能。
在使用过程中,操作者只需简单地输入待相加的数字,计算器会自动完成运算,并将结果显示出来。
通过本文的介绍,我们对4位加法计算器的工作原理有了更清晰的了解。
希望能帮助读者更好地理解和使用这一常见的计算工具。
一:本实验设计的是一个8为二进制加法计算器,其功能就是对两个八位的二进制数执行加法运算,并可以异步清零。
二:电路可划分为三部分:半加器、全加器和复位电路。
1、半加器:真值表a b so co0 0 0 00 1 1 01 0 1 01 1 0 1电路图2全加器:由半加器和或门组成电路图3复位电路:复位电路通过en控制,当en为‘1’时,执行加法运算,输出正确的值,当en为‘0’时,输输出及结果为全0.三:实验波形仿真和VHDL1、仿真图:2、VHDL代码1)半加器h_adder:library ieee;use ieee.std_logic_1164.all;entity h_adder isport (a,b :in std_logic;co,so :out std_logic);end entity h_adder;architecture fh1 of h_adder isbeginso <= not(a xor (not b));co <= a and b ; end architecture fh1;2)或门or2a:library ieee;use ieee.std_logic_1164.all;entity or2a isport (a,b :in std_logic;c: out std_logic);end entity or2a;architecture one of or2a isbeginc <= a or b ;end architecture one;3)全加器f_adder:library ieee;use ieee.std_logic_1164.all;entity f_adder isport (ain,bin,cin:in std_logic;cout,sum:out std_logic);end entity f_adder;architecture fd1 of f_adder iscomponent h_adderport (a,b :in std_logic;co,so :out std_logic);end component;component or2aport (a,b :in std_logic;c: out std_logic);end component;signal d,e,f: std_logic;beginu1:h_adder port map(a=>ain,b=>bin,co=>d,so=>e);u2:h_adder port map(a=>e,b=>cin,co=>f,so=>sum);u3: or2a port map(a=>d,b=>f,c=>cout);end architecture fd1;4)与门and2a:library ieee;use ieee.std_logic_1164.all;entity and2a isport (a,b :in std_logic;c: out std_logic);end entity and2a;architecture one of and2a isbeginc <= a and b ;end architecture one;5)顶层设计文件library ieee;use ieee.std_logic_1164.all;entity zong isport (a1,a2,a3,a4,a5,a6,a7,a8,b1,b2,b3,b4,b5,b6,b7,b8,en :in std_logic;solution1,solution2,solution3,solution4,solution5,solution6,solution7,solution8,solution9 :out std_logic );end entity zong;architecture fh1 of zong iscomponent h_adderport (a,b :in std_logic;co,so :out std_logic);end component;component f_adderport (ain,bin,cin:in std_logic;cout,sum:out std_logic);end component;component and2aport (a,b :in std_logic;c: out std_logic);end component;signale2,e3,e4,e5,e6,e7,e8,e9,e10,e11,e12,e13,e14,e15,e16,e17,e18,e19,e20,e21,e22,e23,e24 :std_logi c;beginu1:and2a port map(a=>en,b=>a1,c=>e2);u2:and2a port map(a=>en,b=>a2,c=>e3);u3:and2a port map(a=>en,b=>a3,c=>e4);u4:and2a port map(a=>en,b=>a4,c=>e5);u5:and2a port map(a=>en,b=>a5,c=>e6);u6:and2a port map(a=>en,b=>a6,c=>e7);u7:and2a port map(a=>en,b=>a7,c=>e8);u8:and2a port map(a=>en,b=>a8,c=>e9);u9:and2a port map(a=>en,b=>b1,c=>e10);u10:and2a port map(a=>en,b=>b2,c=>e11);u11:and2a port map(a=>en,b=>b3,c=>e12);u12:and2a port map(a=>en,b=>b4,c=>e13);u13:and2a port map(a=>en,b=>b5,c=>e14);u14:and2a port map(a=>en,b=>b6,c=>e15);u15:and2a port map(a=>en,b=>b7,c=>e16);u16:and2a port map(a=>en,b=>b8,c=>e17);u17:h_adder port map(a=>e2,b=>e10,co=>e18,so=>solution1);u18:f_adder port map(ain=>e3,bin=>e11,cin=>e18,cout=>e19,sum=>solution2);u19:f_adder port map(ain=>e4,bin=>e12,cin=>e19,cout=>e20,sum=>solution3);u20:f_adder port map(ain=>e5,bin=>e13,cin=>e20,cout=>e21,sum=>solution4);u21:f_adder port map(ain=>e6,bin=>e14,cin=>e21,cout=>e22,sum=>solution5);u22:f_adder port map(ain=>e7,bin=>e15,cin=>e22,cout=>e23,sum=>solution6);u23:f_adder port map(ain=>e8,bin=>e16,cin=>e23,cout=>e24,sum=>solution7);u24:f_adder port map(ain=>e9,bin=>e17,cin=>e24,cout=>solution9,sum=>solution8);end architecture fh1;。