当前位置:文档之家› 简易逻辑分析仪(D题)

简易逻辑分析仪(D题)

简易逻辑分析仪(D题)
简易逻辑分析仪(D题)

2011年大学生电子设计竞赛试题

参赛注意事项

(1)2011年7月22日公布竞赛题目。

(2)参赛队认真填写《登记表》内容,填写好的《登记表》连同作品一起上缴。

(3)每队严格限制3人,开赛后不得中途更换队员。

(4)2011年7月28日20:00竞赛结束,29日9:00上交设计报告、制作实物及《登记表》。

简易逻辑分析仪(A题)

一、任务

设计并制作一个8路数字信号发生器与简易逻辑分析仪,其结构框图如图1所示:

二、要求

1、基本要求

(1)制作数字信号发生器

能产生8路可预置的循环移位逻辑信号序列,输出信号为TTL电平,序列时钟频率为100Hz,并能够重复输出。逻辑信号序列示例如图2所示。

(2)制作简易逻辑分析仪

a.具有采集8路逻辑信号的功能,并可设置单级触发字。信号采集的触发条件为各路被测信号电平与触发字所设定的逻辑状态相同。在满足触发条件时,能对被测信号进行一次采集、存储。

b.能利用模拟示波器清晰稳定地显示所采集到的8路信号波形,并显示触发点位置。

c.8位输入电路的输入阻抗大于50kΩ,其逻辑信号门限电压可在0.25~4V范围内按16级变化,以适应各种输入信号的逻辑电平。

d.每通道的存储深度为20bit。

图2 重复输出循环移位逻辑序列00000101

2.发挥部分

(1)能在示波器上显示可移动的时间标志线,并采用LED或其它方式显示时间标志线所对应时刻的8路输入信号逻辑状态。

(2)简易逻辑分析仪应具备3级逻辑状态分析触发功能,即当连续依次捕捉到设定的3个触发字时,开始对被测信号进行一次采集、存储与显示,并显示触发点位置。3级触发字可任意设定(例如:在8路信号中指定连续依次捕捉到两路信号11、01、00作为三级触发状态字)。

(3)触发位置可调(即可选择显示触发前、后所保存的逻辑状态字数)。

(4)其它(如增加存储深度后分页显示等)。

三、评分标准

1、系统结构框图中的跳接线必须采取可灵活改变的接插方式。

2、数字信号的采集时钟可采用来自数字信号发生器的时钟脉冲clock。

3、测试开始后,参赛者不能对示波器进行任何调整操作。

4、题中涉及的“字”均为多位逻辑状态。如图2中纵向第一个字为一个8位逻辑状态字(00000101),而发挥部分中的3级触发字为2位逻辑状态。

数控直流电流源(B题)

一、任务

设计并制作数控直流电流源。输入交流200~240V,50Hz;输出直流电压≤10V。其原理示意图如下所示。

二、要求

1、基本要求

(1)输出电流范围:200mA~2000mA;

(2)可设置并显示输出电流给定值,要求输出电流与给定值偏差的绝对值≤给定值的1%+10 mA;

(3)具有“+”、“-”步进调整功能,步进≤10mA;

(4)改变负载电阻,输出电压在10V以内变化时,要求输出电流变化的绝对值≤输出电流值的1%+10 mA;

(5)纹波电流≤2mA;

(6)自制电源。

2、发挥部分

(1)输出电流范围为20mA~2000mA,步进1mA;

(2)设计、制作测量并显示输出电流的装置(可同时或交替显示电流的给定值和实测值),测量误差的绝对值≤测量值的0.1%+3个字;

(3)改变负载电阻,输出电压在10V以内变化时,要求输出电流变化的绝对值≤输出电流值的0.1%+1 mA;

(4)纹波电流≤0.2mA;

(5)其他。

三、评分标准

四、说明

1、需留出输出电流和电压测量端子;

2、输出电流可用高精度电流表测量;如果没有高精度电流表,可在采样电阻上测量电

压换算成电流;

3、纹波电流的测量可用低频毫伏表测量输出纹波电压,换算成纹波电流。

电感测试与信号分析仪(C 题)

一、 任务

设计、制作电感测试仪。测量电路原理示意图如图1所示,被测电感包含在1Z (或2

Z )中。

图1 测量电路原理示意图

二、 要求

1. 基本要求

(1) 设计制作频率范围为0.1-1.0MHz 的正弦信号发生器;

(2) 输出信号电压范围(峰-峰值):100mV ~4.00V ,输出阻抗50Ω,频率与电压的

相对误差均小于1%;

(3) 电感测量量程:0~100μH ;0~1.00mH 两个量程,误差绝对值小于2.5%×读数+1

个字或精度等级2.5级;

(4) 通过键盘可以设定显示内容。

2. 发挥部分

(1) 设计电容测量方案,量程:0~1000pF ;0~1.00μF 两个量程,误差绝对值小于

2.5%×读数+1个字或精度等级2.5级;

(2) 计算并显示Q 值,误差绝对值小于2.5%×读数+1个字精度等级2.5级; (3) 采样交流交流电压波形并在LCD 上显示; (4) 计算交流电压的失真度,显示精度等级为2.5级。

三、 评分标准

2

Z

2

运放参数测试仪(D题)

一、任务

设计并制作一台能测试运算放大器参数的测试仪。

二、要求

1、基本要求

(1)能测试V IO(输入失调电压)、I IO(输入失调电流)两项基本参数,显示器最大显示数为3999;

(2)各项被测参数的测量范围及精度如下(被测运放的工作电压为±12V):

V IO:测量范围为0~40mV(量程为4mV和40mV),误差绝对值小于2.5%×读数+1个字;

I IO:测量范围为0~4μA(量程为0.4μA和4μA),误差绝对值小于2.5%×读

数+1个字;

(3)自制测试仪中的信号源,要求输出频率为5Hz、峰值电压为4 V的正弦波信号,频率与电压相对误差均小于1%;

(4)按照本题附录提供的符合GB3442-82的测试原理图,设计制作符合该标准要求的另一种测试V IO、I IO参数的测试电路,以符合GB3442-82的测试电路的测试结果作

为测试标准,对制作的运放参数测试仪进行标定。

2、发挥部分

(1)增加A VD (交流差模开环电压增益)和K CMR(交流共模抑制比) 参数测量功能:

A VD:测量范围为60dB~120dB,测试误差绝对值小于3dB;

K CMR:测量范围为60dB~120dB,测试误差绝对值小于3dB;

(2)增加自动测量(含自动量程转换)功能。该功能启动后,能自动按V IO、I IO、A VD和K CMR的顺序测量并显示以上4个参数测量结果;

(3)其他。

三、评分标准

四、说明

1、为了制作方便,被测运放的型号选定为8引脚双列直插F741 (LM741、μA741、F007

等)通用型运算放大器;

2、为了测试方便,自制的信号源应预留测量端子; 附录:

参照GB3442-82标准,V IO 、I IO 、A VD 和K CMR 参数的测试原理图分别如图2、图3和图4所示。图3和图4中的信号源可采用现成的信号源。为了保证测试精度,外接测试仪表(信号源和数字电压表)的精度应比自制的运放参数测试仪的精度高一个数量级。

(1)V IO 、I IO 电参数测试原理图

R f

图2

① 在K 1、K 2闭合时,测得辅助运放的输出电压记为V L0 ,则有:

L0f

i i IO V R R R V ?+=

② 在K 1、K 2闭合时,测得辅助运放的输出电压记为V L0 ;在K 1、K 2断开时,测得

辅助运放的输出电压记为V L1,则有:R

V V R R R I L0

L1f

i

i IO -?

+=

(2)A VD 电参数的测试原理与测试原理图

图3

设信号源输出电压为V S ,测得辅助运放输出电压为V L0,则有

)dB (lg 20???

? ??+?=i f

i LO

S VD R

R R V V A

(3)K CMR 电参数的测试原理与测试原理图

图4

设信号源输出电压为V S ,测得辅助运放输出电压为V L0,则有

)dB (lg 20???

?

??+?=i f

i LO S CMR R

R R V V K 附录说明

1、测试采用了辅助放大器测试方法。要求辅助运放的开环增益大于60dB ,输入失调电压和失调电流值小;

2、为了保证测试精度,要求对R 、R i 、R f 的阻值准确测量,R 1、R 2的阻值尽可能一致;I IO 与R 的乘积远大于V IO ; I IO 与R i // R f 的乘积应远小于V IO 。测试电路中的电阻值建议取:R i =100Ω、R f =20 k Ω~100k Ω、R 1 = R 2=30k Ω、R L =10 kΩ、R =1MΩ;

3、建议图3、4中使用的信号源输出为正弦波信号,频率为5Hz 、输出电压峰值为4 V 。

多功能数字钟(E题)

一、任务

设计制作一个12小时制多功能数字钟。示意图如下(仅供参考):

二、要求

1.基本要求

(1)具有时间设置(小时和分钟)、闹钟时间设置、闹钟开、闹钟关功能。

(2)数字显示小时、分钟,有AM、PM指示器,闹钟就绪灯,蜂鸣器。

(3)220V供电。

2.发挥部分

(1)键盘切换现场环境温度显示。(0~60℃±1℃)

(2)键盘切换电网频率、电压显示。(选做)

(3)电压欠压、过压报警(~220V±10%)功能。(选做)

(4)非接触止闹功能。

三、评分标准

逻辑分析仪使用手册.pdf

目录 概述 (1) 第1章逻辑分析仪原理及基本概念 (2) 1.1逻辑分析仪原理 (2) 1.2逻辑分析仪基本概念 (2) 1.2.1定时采样 (2) 1.2.2状态采样 (3) 1.2.3动态采样 (3) 1.2.4存储容量 (3) 1.2.5采样时间 (4) 1.2.6测量带宽 (4) 1.2.7门限电压 (5) 1.2.8触发 (5) 1.2.9触发位置优先 (5) 1.2.10触发状态优先 (5) 第2章致远逻辑分析仪 (6) 2.1命名规则 (6) 2.1.1LA系列逻辑分析仪 (6) 2.1.2LAB系列逻辑分析仪 (6) 2.2功能特色 (7) 2.2.1测量线 (7) 2.2.2逻辑笔 (7) 2.2.3频率计 (8) 2.2.4双边沿同步采样 (9) 2.2.5触发方式 (9) 2.2.6数据滤波 (10) 2.2.7数据导出 (11) 2.2.8协议分析 (11) 2.3型号对比 (11) 2.3.1LA系列对比 (11) 2.3.2LAB系列对比 (12) 2.3.3LA系列与LAB系列对比 (13) 第3章如何使用逻辑分析仪 (14) 3.1逻辑分析仪软件安装 (14) 3.1.1安装ZlgLogic软件 (14) 3.1.2安装驱动程序 (18) 3.1.3软件升级 (19) 3.2逻辑分析仪硬件连接 (21) 3.3逻辑分析仪使用步骤 (25) 3.3.1频率测量 (25) 3.3.2总线测量 (28) 3.3.3SPI测量 (31) 3.3.4SPI总线分析 (32) i

3.3.5SPI触发设置 (34) 3.4逻辑分析仪使用注意事项 (36) 3.4.1确保接地良好 (36) 3.4.2合理设置采样频率 (37) 3.4.3合理设置触发方式 (37) 3.4.4合理设置门限电压 (37) 3.4.5使用Timing-State模式 (38) 3.4.6差分信号测量 (38) 第4章逻辑分析仪的应用 (39) 4.1逻辑分析仪队列触发的应用 (39) 4.1.1队列触发在数字通信系统的应用 (39) 4.1.2队列触发在工业自动化领域的应用 (40) 4.2逻辑分析仪数据延迟触发的应用 (42) 4.2.1原理分析 (42) 4.2.2测试步骤 (42) 4.3逻辑分析仪插件触发的应用 (44) 4.4逻辑分析仪外部触发的应用 (44) 4.4.1触发输出在电路调试中的应用 (44) 4.4.2触发输入在电路调试中的应用 (46) 4.4.3其它应用 (47) 4.5逻辑分析仪在数据采集开发系统中的应用 (47) 4.6逻辑分析仪在1-wire总线开发中的应用 (49) 4.7逻辑分析在LIN总线开发中的应用 (51) 4.8逻辑分析仪在DALI总线开发中的应用 (53) 4.9逻辑分析仪在CAN总线开发中的应用 (54) 4.10逻辑分析仪在FPGA开发中的应用 (55) 4.11逻辑分析仪在ACTEL平台中的应用 (57) 4.11.1方案介绍 (58) 4.11.2实现过程 (58) 4.12逻辑分析仪在RFID开发中的应用 (60) 4.12.1方案介绍 (60) 4.12.2方案实现 (60) 4.12.3实现过程 (61) 4.13逻辑分析仪在SDRAM开发中的应用 (62) 4.13.1硬件平台介绍 (62) 4.13.2建立应用平台 (63) 4.13.3逻辑分析仪测量应用 (64) 4.14逻辑分析仪在USB开发中的应用 (65) 4.14.1测量方法 (66) 4.14.2应用实例 (67) 4.15逻辑分析仪在CF卡开发中的应用 (68) 4.15.1CF卡原理 (68) 4.15.2插件解码分析 (69) 4.16逻辑分析仪在SD卡开发中的应用 (71) ii

集合与简易逻辑测试题

[课题]第一章集合与简易逻辑测试题 一、选择题:本大题共12小题,每小题5分,共60分.在每小题给出的四个选项中,只有一项是符合题目要求的. 1.集合A={x|x≤},a=3,则( ) A.a A B.a A C.{a}∈A D.{a} A 2.集合M={x|x=3k-2,k∈Z},Q={y|y=3l+1,l∈Z},S={z|z=6m+1,m∈Z}之间的关系是( ) A.S Q M B.S=Q M C.S Q=M D.S Q=M 3.若A={1,3,x},B={x2,1},且A∪B=A,则这样x的不同取值有( ) A.1个 B.2个 C.3个 D.4个 4.符合条件{a}P{a,b,c}的集合P的个数是( ) A.2 B.3 C.4 D.5 5.若A={x|x2-4x+3<0},B={x|x2-6x+8<0},C={x|2x2-9x+a<0},(A∩B)C,则a的取值范围是( ) A.a≤10 B.a≥9 C.a≤9 D.9≤a≤10 6.若a>0,使不等式|x-4|+|3-x|<a在R上的解非空,则a的值必为( ) A.0<a<1 B.0<a≤1 C.a>1 D.a≥1 7.集合A={x|x2-5x+4≤0},B={x|x2-5x+6≥0},则A∩B= ( ) A.{x|1≤x≤2,或3≤x≤4} B.{x|1≤x≤2,且3≤x≤4} C.{1,2,3,4} D.{x|1≤x≤4或2≤x≤3} 8.如果方程x2+(m-3)x+m的两根都是正数,则m的取值范围是( ) A.0<m≤3 B.m≥9或m≤1 C.0<m≤1 D.m>9 9.由下列各组命题构成“P或Q”,“P且Q”,“非P”形式的复合命题中,“P或Q”为真命题,“P且Q”为假命题,“非P”为真命题的是( )

集合与简易逻辑试卷及详细答案

集合与简易逻辑 一、选择题(本大题共12小题,每小题5分,共60分.每小题中只有一项符合题目要求) 1.集合M={x|lg x>0},N={x|x2≤4},则M∩N=( ) A.(1,2) B.[1,2) C.(1,2] D.[1,2] 2.已知全集U=Z,集合A={x|x2=x},B={-1,0,1,2},则图中的阴影部分所表示的集合等于() A.{-1,2} B.{-1,0} C.{0,1} D.{1,2} 3.已知Z A={x∈Z|x<6},Z B={x∈Z|x≤2},则A与B的关系是() A.AB B.AB C.A=B D.Z A Z B 4.已知集合A为数集,则“A∩{0,1}={0}”是“A={0}”的() A.充分不必要条件B.必要不充分条件 C.充要条件D.既不充分也不必要条件 5.下列选项中,p是q的必要不充分条件的是() A.p:a+c>b+d,q:a>b且c>d

B.p:a>1,b>1,q:f(x)=a x-b(a>0,且a≠1)的图像不过第二象限 C.p:x=1,q:x2=x D.p:a>1,q:f(x)=log a x(a>0,且a≠1)在(0,+∞)上为增函数 6.已知命题p:所有有理数都是实数;命题q:正数的对数都是负数.则下列命题中为真命题的是() A.(非p)或q B.p且q C.(非p)且(非q) D.(非p)或(非q) 7.下列命题中,真命题是() B.x∈R,2x>x2 C.a+b=0的充要条件是a b=-1 D.a>1,b>1是ab>1的充分条件 8.已知命题p:“x>3”是“x2>9”的充要条件,命题q:“a c2>b c2”是“a>b”的充要条件,则() A.“p或q”为真B.“p且q”为真 C.p真q假D.p,q均为假 9.命题p:x∈R,x2+1>0,命题q:θ∈R,sin2θ+cos2θ=,则下列命题中真命题是() A.p∧q B.(非p)∧q C.(非p)∨q D.p∧(非q) 10.已知直线l1:x+ay+1=0,直线l2:ax+y+2=0,则命题“若a=1或a=-1,则直线l1与l2平行”的否命题为() A.若a≠1且a≠-1,则直线l1与l2不平行 B.若a≠1或a≠-1,则直线l1与l2不平行 C.若a=1或a=-1,则直线l1与l2不平行 D.若a≠1或a≠-1,则直线l1与l2平行 11.命题“x∈[1,2],x2-a≤0”为真命题的一个充分不必要条件是()

基于51单片机的简易逻辑分析仪设计

本科生毕业设计报告学院物理与电子工程学院专业电子信息工程 设计题目:基于51单片机的 简易逻辑分析仪设计 学生姓名 指导教师 (姓名及职称) 班级 学号 完成日期:年月

基于51单片机的简易逻辑分析仪设计 物理与电子工程学院电子信息工程 [摘要]本设计完成了一种能进行数字电路中多路数据测试的简易逻辑分析仪。它以51单片机控制核心,数模转换器为逻辑信号门限电平控制电路,用按键和 12864LCD作为人机交互界面,采用C51进行模块化编程,实现了四路信号的测试,具有成本低,使用方便等特点。 [关键词]数字电路单片机数模转换器逻辑分析仪 1 设计任务与要求 本设计的主要任务及参数指标是:数据位数4位,存储深度80字;数据速率最高1kHz;输入阻抗大于50kΩ;逻辑信号门限电平在1.0V~4.0V 范围内按8级任意设定。 2 设计方案 本系统采用51单片机为控制核心,系统由单片机系统、逻辑电平控制、按键、LCD显示、系统电源等模块构成。被测数据输入到逻辑电平控制模块,然后进行单片机进行测试,按键用于控制逻辑信号门限电平的大小,系统电源为各模块供电,各模块的供电电压为5V。

图1 系统框图 3 设计原理分析 3.1 单片机系统电路设计 图2 单片机系统电路 单片机系统为逻辑分析仪的核心,负责控制逻辑分析仪的逻辑电平、检测按键并驱动LCD 进行显示。单片机系统电路如图2所示,由晶体振荡器Y1、电容C3和C4构成振荡器电路,为单片机提供时钟信号。电容C1、电阻R2和R1、按键KEY1构成单片机复位电路,高电平复位,当按键KEY1按下的时间超过2个机器周期以上时,单片机就执行复位操作。EA 接高电平,单片机首先访问内部程序存储器。J1为1KΩ的排阻,作为P0口的外部上拉电阻。在硬件制作时为了方便单片机的测试和功能的扩展,把所有的I/O 口均通过排针引出。 EA/VP 31X119X218RESET 9 RD 17WR 16 INT012INT113T014T115P101P112P123P134P145P156P167P178P0039P0138P0237P0336P0435P0534P0633P0732P2021P2122P2223P2324P2425P2526P2627P27 28 PSEN 29 ALE/P 30TXD 11RXD 10U18051 P10 P11P12P13P14P15P16P17P00P01P02P03P04P05P06P07P20P21P22P23P24P25P26P27 123456789J1 1K +5 Y112M +5 RXD TXD RD WR T0T1INT0INT1C3 22p F C4 22p F R28.2K C110u F +5 12J6CON2 KEY1SW2 R1100 . .

简易逻辑分析仪报告

简易逻辑分析仪 摘要 本系统是由单片机作为主控制器、可编程器件作为辅助控制单元来实现数字信号产生、逻辑信号采集和示波器显示。 由单片机为核心的信号发生器,实现了大范围可控频率、预设码型的信号输出;数据采集模块的输入电路中的程控迟滞比较器,提高了输入信道的抗干扰能力。可编程器件高密度特点在本系统中的应用,大大减少了外围器件,增强了系统的可靠性。带有LCD显示模块为用户控制提供友好的人机界面,实现了设置掉电保护功能,并支持鼠标操作和图形打印。 关键词逻辑分析仪单片机可编程器件程控迟滞比较器一、方案论证及选择

方案一: 利用普通的74系列移位计数器构成数字信号发生器,纯单片机方式实现逻辑分析仪。 图 1-1 方案一结构框图 如图1-1所示,数字信号发生器部分,利用74系列的移位计数器的基本功能,通过拨码开关向置数端预置循环序列,通过TTL 驱动输出数字信号。逻辑分析仪部分的门限电压由电位器控制。这种方法单片机除了完成基本的数据分析外,还需要完成对逻辑数据的采集、存储、显示等大量控制工作。 方案二: 由单片机产生数字信号序列,由另外两片单片机构成逻辑分析仪。 射随器 门限 比较器 电位器 调压电路 单 片 机 Z Y X D/A D/A 预 置 拨码开关 序列 输出 数字信号发生器 简易逻辑分析 100Hz 时钟 键盘 级联74 移位计数器 数码管

图 1-2 方案二结构框图 如图1-2所示,相比方案一在信号产生上方案二采用了单片机方案,数码管显示循环序列码状态,本方案用软件可以实现不同频率、更加复杂数字信号的输出。在逻辑分析仪部分,部分的特点是双单片机结构,二者通过串口通信,下位机单片机3只负责显示,上位机单片机2通过D/A 输出程控的门限电平。本方案解决了显示与数据采集处理不能同时工作的矛盾, 方案三 利用FPGA/CPLD 的高速特点,实现系统并行工作,这是本方案相比于方案二的特色之一。用可编程器件可以高速完成单一功能模块。FPGA/CPLD 的使用弥补了单片机在高速采集和实时显示的弱点,使整个系统的处理能力远超过当前微控制器的水平,这使设计十分具有发挥的空间。而且通过合理地划分软硬件的工作量,将使软件控制和软件编写变得容易。 单 片 机 2 单 片 机 3 单 片 机 1 输出级TTL 驱动 射随器 D/A 门限 比较器 串口 通信 Z Y X D/A D/A 数码管 键盘 数字信号发生简易逻辑分析 键盘 数码管

(完整版)集合与简易逻辑测试题(高中)

金华中学2010届高三第一轮复习《集合与简易逻辑》单元测试 一、单项选择题(本大题共10小题,每小题5分) 1.设合集U=R ,集合}1|{},1|{2 >=>=x x P x x M ,则下列关系中正确的是( ) A .M=P B .M P C . P M D .M ?P 2.如果集合{ }8,7,6,5,4,3,2,1=U ,{}8,5,2=A ,{}7,5,3,1=B , 那么( A U )B I 等于 ( ) (A){}5 (B) { }8,7,6,5,4,3,1 (C) {}8,2 (D) {}7,3,1 3.设P 、Q 为两个非空实数集合,定义集合P+Q=},5,2,0{},,|{=∈∈+P Q b P a b a 若 }6,2,1{=Q ,则P+Q 中元素的个数是( ) ( ) (A) 6 (B) 7 (C) 8 (D) 9 4. 设集合{}21|<≤-=x x A ,{}a x x B <=|,若φ≠B A I ,则a 的取值 范围是( ) (A )2a (C )1->a (D )21≤<-a 5. 集合A ={x |1 1 +-x x <0},B ={x || x -b|<a },若“a =1”是“A ∩B ≠φ”的充分条件, 则b 的取值范围是 ( ) (A )-2≤b <0 (B )0<b ≤2 (C )-3<b <-1 (D )-1≤b <2 6.设集合A ={x | 1 1 +-x x <0},B ={x || x -1|<a },若“a =1”是“A ∩B ≠φ ”的( ) (A )充分不必要条件(B )必要不充分条件(C)充要条件 (D)既不充分又不必要条件 7. 已知23:,522:>=+q p ,则下列判断中,错误..的是 ( ) (A)p 或q 为真,非q 为假 (B) p 或q 为真,非p 为真 (C)p 且q 为假,非p 为假 (D) p 且q 为假,p 或q 为真 8.a 1、b 1、c 1、a 2、b 2、c 2均为非零实数,不等式a 1x 2+b 1x +c 1<0和a 2x 2 +b 2x +c 2<0的解集分别为集合M 和N ,那么“111222 a b c a b c ==”是“M =N ” ( ) (A )充分非必要条件 (B )必要非充分条件 (C )充要条件 (D )既非充分又非必要条件 9.“2 1 = m ”是“直线03)2()2(013)2(=-++-=+++y m x m my x m 与直线相互垂直”的 ( ) (A)充分必要条件 (B)充分而不必要条件 (C)必要而不充分条件 (D)既不充分也不必要条件 10. 已知01a b <<<,不等式lg()1x x a b -<的解集是{|10}x x -<<,则,a b 满足的关系是( ) (A )1110a b -> (B )1110a b -= (C )1110a b -< (D )a 、b 的关系不能确定 二、填空题(本大题共5小题,每小题5分,共25分,把答案填在题中横线上) 11.对任意实数a ,b ,c ,给出下列命题: ①“b a =”是“bc ac =”充要条件;②“5+a 是无理数”是“a 是无理数” 的充要条件 ③“a >b ”是“a 2>b 2”的充分条件; ④“a <5”是“a <3”的必要条件. 其中为真命题的是 12.若集合{ }x A ,3,1=,{}2 ,1x B =,且{}x B A ,3,1=Y ,则=x 13.两个三角形面积相等且两边对应相等,是两个三角形全等的 条件 14.若0)2)(1(=+-y x ,则1=x 或2-=y 的否命题是 15.已知集合M ={x |1≤x ≤10,x ∈N },对它的非空子集A ,将A 中每个元素k ,都乘以(-1)k 再求和(如A={1,3,6},可求得和为(-1)·1+(-1)3·3+(-1)6·6=2, 则对M 的所有非空子集,这些和的总和是 . 三、解答题(本大题共6小题,共74分,解答应写出文字说明,证明过程或演算步骤)

简易逻辑精选练习题和答案

简易逻辑精选练习题 一、选择题 1 1. “ m "是"直线(m 2) x 3my 1 二 0与直线(m - 2) x (m 2) y - 3 二 0相互垂直”的( ) A .充分必要条件 B.充分而不必要条件 C.必要而不充分条件 D.既不充分也不必要条件 x _ 1 2. 设集合 A ={ x| v 0} , B ={ x || x — 1| v a },若“ a = 1 ”是“ A n ”的( ) X +1 A .充分不必要条件 B .必要不充分条件 C.充要条件 D .既不充分又不必要条件 3. 命题p :“有些三角形是等腰三角形”,贝归p 是( ) A .有些三角形不是等腰三角形 B .所有三角形是等腰三角形 C.所有三角形不是等腰三角形 D .所有三角形是等腰三角形 2 2 4. 设命题p :方程x 3x -^0的两根符号不同;命题 q :方程x ? 3x -1 =0的两根之和为3,判断 2 8. a ::: 0是方程ax 2x ^0至少有一个负数根的( A .必要不充分条件 B .充分不必要条件 C.充分必要条件 D.既不充分也不必要条件 二、填空题 9. (1) 命题: Ex 壬 R, x 2 + x + 1 v 0 的否定是 ________________________ , (2) ______________________________________________________ 命题“ -x € R , X 2-X +3>0”的否定是 ____________________________________________________________ , (3) 命题 “对任意的x € {x|-2 0 ”的否定是 _____________________ 2 (5) __________________________________________________________________ 命题“不等式X +X -6>0的解是x<-3或X >2”的逆否命题是 __________________________________________ (6) 命题“ ? a , b € R,如果ab >0,则a >0”的否命题是 ________________ (7) _______________________________________________________________ 命题 “△ ABC 中 ,若/ C=90° ,则/ A 、/ B 都是锐角”的否命题为: _____________________________________________ ______________ ,否定形式: ________________________________ 。 10. 下列四个命题: ①“k=1 ”是“函数 y=cos 2kx-sin 2 kx 的最小正周期为二”的充要条件; 命题“ 一p ”、 ” a PM 、 A . 0 B. 1 p q C . 2 ”为假命题的个数为( D . 3 5.“ a > b > 0” 是“ ab v B . D . A .充分而不必要条件 C.充要条件 6. 若不等式| x — 1| < a 成立的充分条件疋 A . a^1 B . a^3 C . 必要而不充分条件 既不充分也不必要条件 0 0 B C. 一切分数都是有理数 D ) .? x € R , 3x-5 = 0 .对于任意的实数 a,b,方程ax=b 都有唯一解

基于单片机的简易逻辑分析仪毕业设计论文

基于单片机的简易逻辑分析仪 目录 第1节引言 (3) 1.1系统概述 (3) 1.1.1系统的特点 (4) 1.1.2系统的功能 (4) 第2节系统主要硬件电路设计 (5) 2.1 系统结构框图 (5) 2.2 主体控制模块 (5) 2.3 系统硬件的主体实现 (7) 2.3.1 数字信号发生器模块的电路设计与实现 (7) 2.3.2 主控系统模块的电路设计与实现 (8) 2.3.3 LED显示模块的电路设计与实现 (10) 2.3.4 硬件的抗干扰措施 (12) 第3节系统软件设计 (13) 3.1 系统软件流程 (13) 3.2 中断服务子程序 (15) 3.3 AT24C04程序设计 (15) 第4节结束语 (19) 参考文献 (20) 基于单片机的简易逻辑分析仪

第1节引言 信息时代是数字化的时代,数字技术的高速发展,出现了以高性能计算机为核心的数字通信、数字测量的数字系统。在研究这些数字系统产品的应用性能的同时也必须研究在设计、生产和维修他们的过程中,如何验证数字电路设计的合理性、如何协调硬件及其驱动应用软件的工作、如何测量其技术指标以及如何评价其性能。逻辑分析仪的出现,为解决这些问题提供了可能。 随着数字系统复杂程序的增加,尤其是微处理器的高速发展,用示波器测试己显得有些无能为力。1973年在美国应运而生的逻辑分析仪(Logic Analyzer),能满足数字域测试的各种要求。它属于总线分析仪一类的数据域测试仪器*主要用于查找总线(或多线)相关故障.同时对于数据有很强的选择能力和跟踪能力,因此,逻辑分析汉在数字系统的测试中获得了广泛的应用。 逻辑分析仪(Logic Analyzer)是以逻辑信号为分析对象的测量仪器。是一种数据域仪器,其作用相当于时域测量中的示波器。正如在模拟电路错误分析中需要示波器一样,在数字电路故障分析中也需要一种仪器,它适应了数字化技术的要求,是数字、逻辑电路、仪器、设备的设计、分析及故障诊断工作中不可按少的工具。在测试数字电路、研制和维修电子计算机、微处理器以及各种集成化数字仪表和装置中具有广泛的用途;还是数字系统设计、侦错、软件开发和仿真的必备仪器;作为硬件设计中必不可少的检测工具,还可将其引入实验教学中,建立直观感性的印象,提升学生的硬件设计能力,可以全面提高教学质量;随着科技的发展,LA在多通道、大存储量、高采样速率、多触发功能方面得到更快的发展,在航天、军事、通信等数字系统领域得到越来越广泛的应用。 我们从上面可以看出逻辑分析仪在各个领域的广泛应用。那么我们在学习、应用的同时设计并制作一个简易的逻辑分析仪就显的意义重大了,这样这个过程既可以让我们更加深入理解其原理,又可以提高动手设计并制作整个系统电路的能力,还可以将其作为简易仪器应用于以后的实验中。 1.1系统概述 因在本节中,我们将对简易逻辑分析仪的应用进行分析。给出它的特点,能实现的功能以及系统的简单操作 1.1.1 系统的特点 逻辑分析仪也称逻辑示波器,它是用来分析数字系统逻辑关系的一种仪器。逻辑分析仪的主要作用有二个:一是用于观察的形式显示出数字系统的运行情况,相当于扩展了人们的视野,起一个逻辑显示器的作用;二是对系统运行进行分析和故障诊断。

labview的8位逻辑分析仪

目录 引言 (5) 一、LABVIEW和数字逻辑分析仪简介 (6) 1.1 LABVIEW简介 (6) 1.2 数字逻辑分析仪简介 (6) 1.3 实验平台简介 (8) 二、数字逻辑分析仪的总体设计 (8) 三、前面板设计 (11) 四、程序设计 (11) 五、调试及结果 (13) 六、总结心得 (14) 七、参考文献 (15)

引言 数字逻辑分析仪重点在于考察信号高于或低于某一门限电平值,以及这些数字信号与系统时间之间的相对关。逻辑分析仪是一种类似于示波器的波形测试设备,它可以监测硬件电路工作时的逻辑电平(高或低),并加以存储,用图形的方式直观地表达出来,便于用户检测,分析电路设计(硬件设计和软件设计) 中的错误,逻辑分析仪是设计中不可缺少的设备,通过它,可以迅速地定位错误,解决问题,达到事半功倍的效果。逻辑分析仪是利用时钟从测试设备上采集和显示数字信号的仪器,最主要作用在于时序判定。由于逻辑分析仪不像示波器那样有许多电压等级,通常只显示两个电压(逻辑1和0),因此设定了参考电压后,逻辑分析仪将被测信号通过比较器进行判定,高于参考电压者为High,低于参考电压者为Low,在High与Low之间形成数字波形。逻辑分析仪分为两大类:逻辑状态分析仪(Logic State Analyzer,简称LSA)和逻辑定时分析仪(Logic Timing Analyzer)。这两类分析仪的基本结构是相似的,主要区别表现在显示方式和定时方式上。 LabVIEW是目前国际上唯一的编译型图形化编程语言,使用“所见即所得”的可视化技术建立人机界面,使用图标表示功能模块迷失用图标之间的连线表示各模块间的数据传递。同时LabVIEW继承了高级编程语言的结构化和模块化编程的优点,支持模块化与层次化实际,这种结构的实际增强了程序的可读性。 LabVIEW是一种图形化的编程语言和开发环境,它广泛地被工业界、学术界和研究实验室所接收,被公认为是标准的数据采集和仪器控制软件。LabVIEW 是一个功能强大且灵活的软件,利用他可以方便的建立自己的虚拟仪器。以LabVIEW为代表的图形化编程语言,又称为“G”语言。使用这种语编程时,基本上不需要编写程序代码,而是“绘制”程序流程图。LabVIEW尽可能利用工程技术人员所熟悉的术语、图标和概念,因而它是一种面向最终用户的开发工具,可以增强工程人员构建自己的科学和工程系统的能力,可为实现仪器编程和数据采集系统提供便捷途径。 本次课程设计就是在LabVIEW基础上设计一个8位数字逻辑分析仪。并从中学习和了解LabVIEW的运用和编程。

集合与简易逻辑函数与导数测试题(含答案)

集合与简易逻辑、函数与导数测试题 1.若集合{ }8,7,6,5,4,3,2,1=U ,{}8,5,2=A ,{}7,5,3,1=B ,那么(A U )B 等于 ( )A.{}5 B . { }7,3,1 C .{}8,2 D. {}8,7,6,5,4,3,1 2.函数()2()3log 6f x x x =+-的定义域是( ) A .{}|6x x > B .{}|36x x -<< C .{}|3x x >- D .{}|36x x -<≤ 3.已知23:,522:≥=+q p ,则下列判断中,错误的是 ( ) A .p 或q 为真,非q 为假 B . p 或q 为真,非p 为真 C .p 且q 为假,非p 为假 D . p 且q 为假,p 或q 为真 4.下列函数中,既是偶函数又在)0,(-∞上单调递增的是 ( ) A .3y x = B .y cos x = C .y ln x = D .2 1 y x = 5.对命题” “042,02 00≤+-∈?x x R x 的否定正确的是 ( ) A .042,02 00>+-∈?x x R x B .042,2≤+-∈?x x R x C .042,2>+-∈?x x R x D .042,2≥+-∈?x x R x 6.为了得到函数x y )3 1(3?=的图象,可以把函数x y )31 (=的图象 A .向左平移3个单位长度 B .向右平移3个单位长度 C .向左平移1个单位长度 D .向右平移1个单位长度 7.如图是函数)(x f y =的导函数)(x f '的图象,则下面判断正确的是 A .在区间(-2,1)上)(x f 是增函数 B .在(1,3)上)(x f 是减函数 C .在(4,5)上)(x f 是增函数 8. 若函数) )(12()(a x x x x f -+= 为奇函数,则a 的值为 ( ) A .21 B .32 C .4 3 D .1 9.已知定义域为R 的函数f (x )在区间(4,+∞)上为减函数,且函数y =f (x +4)为偶函数,则( ) O y x 1 2 4 5 -3 3 -2

(完整版)简易逻辑练习题及答案

、选择题: 1若命题p : 2n — 1是奇数,q : 2n + 1是偶数,则下列说法中正确的是 ( ) A . p 或q 为真 B . p 且q 为真 C .非p 为真 D .非p 为假 2.“至多三个”的否定为 ( ) A .至少有三个 B .至少有四个 C .有三个 D . 有四个 3. △ ABC 中,若/ C=90°则/ A 、/ B 都是锐角”的否命题为 A . △ ABC 中,若/ C M 90° 则/ A 、/ B 都不是锐角 B . △ ABC 中,若/ C M 90° 则/ A 、/ B 不都是锐角 C . △ ABC 中,若/ C M 90°则/ A 、/ B 都不一定是锐角 D .以上都不对 4. 给出 4 个命题: ① 若 x 2 3x 2 ,则 x=1 或 x=2; ② 若 2 x 3,则 (x 2)(x 3) 0; ③ 若 x=y=0 ,则 x 2 y 2 0 ; ④ 若x, y N , x + y 是奇数,则x , y 中一个是奇数,一个是偶数. 那么: A . p 且q 为假 D .非p 为假 6 .命题 若厶ABC 不是等腰三角形,则它的任何两个内角不相等? ”的逆否命题 是 ( ) A .若厶ABC 是等腰三角形,则它的任何两个内角相等 .” B .若厶AB C 任何两个内角不相等,则它不是等腰三角形 .” C .若厶ABC 有两个内角相等,则它是等腰三角形 .” D .若厶ABC 任何两个角相等,则它是等腰三角形 ?” 简易逻 辑 A .①的逆命题为真 B .②的否命题为真 C .③的逆否命题为假 D .④的逆命题为假 5 .对命题p : A n ,命题q : A U = A ,下列说法正确的是 B . p 或q 为假 C . 非 p 为真

基于单片机的简易逻辑分析仪的毕业设计论文

基于单片机的简易逻辑分析仪毕业设计论文 目录 第1节引言 (3) 1.1系统概述 (3) 1.1.1系统的特点 (4) 1.1.2系统的功能 (4) 第2节系统主要硬件电路设计 (5) 2.1 系统结构框图 (5) 2.2 主体控制模块 (5) 2.3 系统硬件的主体实现 (7) 2.3.1 数字信号发生器模块的电路设计与实现 (7) 2.3.2 主控系统模块的电路设计与实现 (8) 2.3.3 LED显示模块的电路设计与实现 (10) 2.3.4 硬件的抗干扰措施 (12) 第3节系统软件设计 (13) 3.1 系统软件流程 (13) 3.2 中断服务子程序 (15) 3.3 AT24C04程序设计 (15) 第4节结束语 (19) 参考文献 (20)

基于单片机的简易逻辑分析仪 第1节引言 信息时代是数字化的时代,数字技术的高速发展,出现了以高性能计算机为核心的数字通信、数字测量的数字系统。在研究这些数字系统产品的应用性能的同时也必须研究在设计、生产和维修他们的过程中,如何验证数字电路设计的合理性、如何协调硬件及其驱动应用软件的工作、如何测量其技术指标以及如何评价其性能。逻辑分析仪的出现,为解决这些问题提供了可能。 随着数字系统复杂程序的增加,尤其是微处理器的高速发展,用示波器测试己显得有些无能为力。1973年在美国应运而生的逻辑分析仪(Logic Analyzer),能满足数字域测试的各种要求。它属于总线分析仪一类的数据域测试仪器*主要用于查找总线(或多线)相关故障.同时对于数据有很强的选择能力和跟踪能力,因此,逻辑分析汉在数字系统的测试中获得了广泛的应用。 逻辑分析仪(Logic Analyzer)是以逻辑信号为分析对象的测量仪器。是一种数据域仪器,其作用相当于时域测量中的示波器。正如在模拟电路错误分析中需要示波器一样,在数字电路故障分析中也需要一种仪器,它适应了数字化技术的要求,是数字、逻辑电路、仪器、设备的设计、分析及故障诊断工作中不可按少的工具。在测试数字电路、研制和维修电子计算机、微处理器以及各种集成化数字仪表和装置中具有广泛的用途;还是数字系统设计、侦错、软件开发和仿真的必备仪器;作为硬件设计中必不可少的检测工具,还可将其引入实验教学中,建立直观感性的印象,提升学生的硬件设计能力,可以全面提高教学质量;随着科技的发展,LA在多通道、大存储量、高采样速率、多触发功能方面得到更快的发展,在航天、军事、通信等数字系统领域得到越来越广泛的应用。 我们从上面可以看出逻辑分析仪在各个领域的广泛应用。那么我们在学习、应用的同时设计并制作一个简易的逻辑分析仪就显的意义重大了,这样这个过程既可以让我们更加深入理解其原理,又可以提高动手设计并制作整个系统电路的能力,还可以将其作为简易仪器应用于以后的实验中。 1.1系统概述 因在本节中,我们将对简易逻辑分析仪的应用进行分析。给出它的特点,能实现的功能以及系统的简单操作 1.1.1 系统的特点 逻辑分析仪也称逻辑示波器,它是用来分析数字系统逻辑关系的一种仪器。逻辑

简易逻辑分析仪

安阳工学院电子信息与电气工程学院 《EDA技术》课程大作业 题目:简易逻辑分析仪 班级: 2011级电子信息工程一班 评分标准: 1、题目难易度。 10% 2、设计和结论正确,分析清晰合理。 40% 3、大作业报告阐述清晰,格式规范。 20% 4、陈述清晰,回答问题正确。 30% 大作业成绩 总成绩=T+J*40%+(J+J*(X-1/N))*30% T为回答问题成绩,J为教师成绩,X为学生自评分值,N为小组人数

简易逻辑分析仪 1. 设计任务 逻辑分析仪可以将数字系统中的脉冲信号、逻辑控制信号、总线数据甚至毛刺脉冲都能同步高速的采集进该仪中的高速RAM中暂存,以备显示和分析。我们所设计的简易逻辑分析仪是一个8通道的逻辑数据采集电路,它可以将输入到RAM中的计数结果通过输出线完整地按地址输出出来,其中CLK(时钟信号),CLK_EN(时钟使能信号),CLR(清零端),WREN(写入允许控制)和DIN(7..0)(写入允许控制),OUTPUT(八位数据输出)。预期可以将输入到简易逻辑分析仪中的数据可以完整的读取出来。 2.设计方案 我们所设计的简易逻辑分析仪主要有三个功能模块构成:一个8位LMP_RAM0,存储1024个字节,有十根地址线;一个十位计数器LMP_COUNTER和一个锁存器74244。设计思路框图如下图一: 图一设计思路框图 3. 方案实施 3.1、LPM计数器模块的设计 首先打开一个原理图编辑窗,存盘取名为 eda1,然后建成工程,在进入本工程的原理图,单击Mega Wizard Plug-In Manger 管理器按钮,然后进入如图二所示的窗口,选择LPM-COUNTER模块,再选择CycloneⅢ和VHDL;文件名为CONT10B。

(完整版)集合与简易逻辑测试题

(集合与简易逻辑) 一、选择题:本大题共12小题,每小题5分,共60分,在每小题给出的四个选项中,只有一项是符合题目要求的。 1、设P,Q为两个非空实数集合,定义集合P+Q={a+b|, a∈P,b∈Q},若P={0,2,5},Q={1,2,6},则P+Q中元素的个数是() A.9 B.8 C.7 D.6 2、若集合M={y| y=},P={y| y=},则M∩P= () A{y| y>1}B{y| y≥1}C{y| y>0}D{y| y≥0} 3、下列四个集合中,是空集的是( ) A . B . C. { D .. 4、若关于x的不等式<1的解集为{x|x <1或x > 2},则实数a的值为( ) A.1 B.0 C.2 D. 5、已知集合M={a2, a+1,-3}, N={a-3, 2a-1, a2+1}, 若M∩N={-3}, 则a的值是( ) A -1 B 0 C 1 D 2 6、设集合A={x| < 0},B={x||x-1|

A.35 B.25 C.28 D.15 8、一元二次方程有一个正根和一个负根的充分不必要条件是:() A.B.C.D. 9、若二次不等式ax2+bx+c > 0的解集是{x| < x <},那么不等式2cx2-2bx-a < 0的解集是( ) A.{x|x< -10或x > 1} B.{x|-< x <} C.{x|4< x <5} D.{x|-5< x < -4} 10、已知函数f(x)在(-∞,+∞)上为增函数,a,b∈R,对于命题“若a+b≥0,则f(a)+f(b)≥f(-a)+f(-b)”有下列结论: ①此命题的逆命题为真命题②此命题的否命题为真命题 ③此命题的逆否命题为真命题④此命题的逆命题和否命题有且只有一个真命题 其中正确结论的个数为( ) A.1个 B.2个 C.3个 D.4个 11、对任意实数, 若不等式恒成立, 则实数的取值范围是( ) A k≥1 B k <1 C k≤1 D k >1 12、若集合A B, A C, B={0,1,2,3,4,7,8}, C={0,3,4,7,8}, 则满足条件的集合A 的个数为( ) A. 16 B 15 C 32 D 31 二、填空题:本大题共4小题;每小题4分,共16分,把答案填在题中的横线上。

简易逻辑分析仪

简易逻辑分析仪 摘要 本系统基于逻辑分析仪原理,以AT89C系列单片机为核心,设计制作完成了简易逻辑分析仪。本系统主要由数字信号发生器模块、采集存储和示波器显示模块、人机交互模块三部分组成。基于题目要求,本系统对触发方式、信号采集存储、示波器显示波形和时间标志线、友好的人机界面等功能进行了重点设计。经测试,各项指标均满足基本部分和发挥部分的要求,并且有些指标超出题目要求。 关键字:逻辑分析仪;单片机;液晶 Abstract: Keywords: Signal Oscilloscope;MCU;LCM 1、 总体方案设计 1. 方案比较和选择 方案一:纯FPGA/CPLD(可带IP核)或FPGA/CPLD与单片机结合方式。即由FPGA/CPLD产生数字序列信号,判断单、三级触发信号,设定门限电压,采集、存储、显示被测信号;由IP核实现人机交互及信号测量分析等功能;或由单片机完成IP核实现的功能。此方案优点是速度快、精度高。缺点是软硬件复杂、调试困难、程序不易控制、性价比极低;而且体现不出本方案的优势。 方案二:纯单片机方式。即由多片单片机分别完成数字序列发生器,判断触发信号、数据采集、存储、显示,人机交互、门限电压设定

等功能。优点是操作方便、软件结构清晰、控制灵活、调试容易、性价比较高。本系统对速度的要求不是很高,所以单片机完全能够胜任。 2. 系统设计方案 本系统以三片单片机AT89C51为核心,将设计任务分解为数字信号发生器模块、采集存储和示波器显示模块、人机交互模块三部分。其中核心和关键部分是采集存储和示波器显示模块,另外两个模块起辅助作用。总体系统框图如图1所示。 图1 2、 电路设计与分析 1.可预置的8路数字信号发生器 本模块用于产生8路可预置的重复循环移位逻辑信号序列,输出信号为TTL电平,序列时钟频率为100Hz。输出数字信号如图2示例所示。

简易逻辑分析仪

简易逻辑分析仪 一、方案论证及选择 1、系统总体框图如下: 整个系统由信号发生器部分、信号调理部分、ARM软件控制部分以及输出显示部分组成。 2、数字信号发生器模块 方案一:采用555定时器和可预置移位寄存器。用两片74LS194A接成8位可预置循环移位寄存器,方波发生器提供一时钟信号给移位寄存器,预置数用8个按键接入(即循环序列),此方案简单可靠。 方案二:用PC 通过软件编程可以从并行口输出信号波形,不需要硬件电路,且设计灵活,但是不适合电子设计竞赛,并且PC体积大,携带不方便。 方案三:采用中规模FPGA,使用VHDL语言设计移位寄存器。此方案可以实现精确定时产生信号,且信号频率可调,体积小, 但其显示电路占用资源多,这样设计出来的电路系统将大且复杂。 方案四:采用一片AT89C2051单片产生波形序列。用单片机产生数字信号,设计简单,设置灵活,频率调节方便。 综合分析上述各方案,比较其优缺点,本系统有其固定的频率要求,故选用最简单的方案一。 3、门限电压分级部分 方案一、采用单片机软件控制分级输出不同的电压值,给到比较器的反相端。该方案简单、且输出电压精确。 方案二、用单片机产生一路PWM波,再经过两级RC低通滤波可得到直流电压,通过控制PWM波的占空比来改变电压值,达到分级效果。该方案RC滤波得到的电压不稳定,且有纹波。

方案三、直流电源供5V电压,采用电阻、电位器进行一级一级的分压,以实现分级效果。该方案电路复杂,且电位器调节比较难。 方案四、采用数字式电位器,由单片机结合相应的外围电路进行控制,以实现分压。采用程控方式,得到的电压精确且稳定。 鉴于本系统软件程序较多,ARM内部仅两个DA,故选择方案四以避免使用单片机内部DA。 二、硬件部分单元电路 1、数字信号发生器电路 该部分采用了555定时器产生一定频率的时钟信号,通过改变滑动变阻器阻值可实现频率在一定范围内可变,定时器后接一个非门以增强后级驱动能力。定时器产生的方波信号作为双向移位寄存器74LS194的输入时钟,利用74LS194的两个控制端(S0,S1)来产生八路可预置的循环移位逻辑信号序列。当按键SW2按下时,74LS194将按键的逻辑状态输入移位寄存器,送入移位寄存器的这组数值便在时钟的控制下循环移位。 2、信号调理部分 由移位寄存器产生的逻辑信号经过电压比较器LM339,与一可调门限电压进行比较,并输出TTL逻辑电平。这些电平信号输入到单片机与用户自己设置的触发状态字进行比较进而输出题目要求采集的信号。由于LM339输出的信号电平为5V,而单片机可承受的电压最大为3.3V,故需在LM339后进行光耦隔离以防止外部设备给过大的电流给单片机,同时也达到降压的效果。

相关主题
文本预览
相关文档 最新文档