当前位置:文档之家› 简易逻辑分析仪报告

简易逻辑分析仪报告

简易逻辑分析仪报告
简易逻辑分析仪报告

简易逻辑分析仪

摘要

本系统是由单片机作为主控制器、可编程器件作为辅助控制单元来实现数字信号产生、逻辑信号采集和示波器显示。

由单片机为核心的信号发生器,实现了大范围可控频率、预设码型的信号输出;数据采集模块的输入电路中的程控迟滞比较器,提高了输入信道的抗干扰能力。可编程器件高密度特点在本系统中的应用,大大减少了外围器件,增强了系统的可靠性。带有LCD显示模块为用户控制提供友好的人机界面,实现了设置掉电保护功能,并支持鼠标操作和图形打印。

关键词逻辑分析仪单片机可编程器件程控迟滞比较器一、方案论证及选择

方案一:

利用普通的74系列移位计数器构成数字信号发生器,纯单片机方式实现逻辑分析仪。

图 1-1 方案一结构框图

如图1-1所示,数字信号发生器部分,利用74系列的移位计数器的基本功能,通过拨码开关向置数端预置循环序列,通过TTL 驱动输出数字信号。逻辑分析仪部分的门限电压由电位器控制。这种方法单片机除了完成基本的数据分析外,还需要完成对逻辑数据的采集、存储、显示等大量控制工作。 方案二:

由单片机产生数字信号序列,由另外两片单片机构成逻辑分析仪。

射随器

门限 比较器

电位器 调压电路

单 片 机

Z

Y

X D/A

D/A

预 置

拨码开关

序列 输出

数字信号发生器 简易逻辑分析

100Hz 时钟

键盘

级联74 移位计数器 数码管

图 1-2 方案二结构框图

如图1-2所示,相比方案一在信号产生上方案二采用了单片机方案,数码管显示循环序列码状态,本方案用软件可以实现不同频率、更加复杂数字信号的输出。在逻辑分析仪部分,部分的特点是双单片机结构,二者通过串口通信,下位机单片机3只负责显示,上位机单片机2通过D/A 输出程控的门限电平。本方案解决了显示与数据采集处理不能同时工作的矛盾, 方案三

利用FPGA/CPLD 的高速特点,实现系统并行工作,这是本方案相比于方案二的特色之一。用可编程器件可以高速完成单一功能模块。FPGA/CPLD 的使用弥补了单片机在高速采集和实时显示的弱点,使整个系统的处理能力远超过当前微控制器的水平,这使设计十分具有发挥的空间。而且通过合理地划分软硬件的工作量,将使软件控制和软件编写变得容易。

单 片 机 2

单 片 机 3

单 片 机 1

输出级TTL 驱动

射随器

D/A

门限 比较器

串口 通信

Z

Y X D/A D/A

数码管

键盘

数字信号发生简易逻辑分析

键盘

数码管

图1-3 方案三结构框图

如图1-3所示:系统分为四大部分:数字信号发生模块、主控制器、逻辑采集模块、显示控制模块。硬件设计上包含两块单片机、一块FPGA 、一块FPGA,其中单片机1与TTL 驱动级组成的是数字信号产生模块; 单片机2为逻辑分析仪的主控制器,FPGA (带数据RAM )在单片机2的控制下完成触发控制、数据采集,并支持与主控制器的数据回读,它们构成了数据采集模块;FPGA 在单片机2的控制下完成示波器自动扫描控制,它们构成了显示控制模块,主控制器将符合X-Y-Z 扫描格式的数据写入缓存,FPGA2将自动地、并行地工作,其间不需主控制器的管理,直至显存被更新为止。

FPGA/CPLD 的采用为主控制器赢得了充足的系统管理时间,我们为系统设计了掉电设定保护、信息打印,并使系统支持LCD 、鼠标等设备。

方案一的优点是构成的系统规模较小,成本较低。但是受到单片机本身

89C51 单 片 机 1

采集存储 FPGA

数据RAM

89C51 单 片 机 2

FPGA

输出级TTL 驱动

双通道 D/A

X

Y 示波器

Z

数字信号发生器

显示控制模块

键盘 打印机

鼠标 LCD 掉电保护

信号采集模块

键盘

LCD

速度的限制,它不能适应显示的实时性和高速数字信号采集的要求,不利于对系统功能和指标的发挥。方案二,主体由软件构成,编程量大,尽管实现实时显示,可是仍受单片机速度的限制,不能适应高速应用的场合。方案三利用了FPGA/CPLD的系统加速方案,容易达到发挥部分的要求,而且还具有一定的优化和扩展余地,我们将软硬件的工作量进行了合理的划分,可以确保作品在规定的时间内高质量完成。综合上面方案的优缺点分析,我们决定采用方案三作为我们最终实现方案。

二、理论分析与参数计算

1、数字信号发生器

(1)通道数:8路

(2)最大模值:32

(3)频率可程控范围:10Hz--10KHz

2、逻辑分析仪

(1)通道数:8路

数字电路中8线制标准普遍存在,我们的设计的就是8通道信号产生和采集系统,这满足题目基本和发挥部分的要求。

(2)存储深度:1024bit

题目的基本要求,水平分辨率scr

bit/表示比特每

。其中,scr

32

bit

HD/

屏。设计了分页显示设计32个独立页面,单通道存储深度要扩展为

bit scr scr bit M 102432/32=?=。

(3)采样率: KHz 10

我们设计的系统可以对内时钟和外时钟进行选择,内部时钟KHz f in 10=。 内时钟与时间分辨力的指标在数值上是相等的。对内时钟采样的情况,存储、显示的数据序号与时间成正比,对于固定的存储深度可以存储的时间也是固定的,ms f M T in M 100000

,101000

===

。 式(2-2) (4)触发控制

回读数据中的触发点在存储深度中的位置只与延迟计数的模值有关,单片机可以通过程控延迟计数器的模值M ,来达到控制触发位置在存储深度中任意可调的目的,延迟计数次数D N 与触发位置在数值上是相等的,即

D N L =+ 式(2-2)

式中,+L 表示回读数据中触发位置距离正向最末一点的相对位置。对于

bit 1000的存储深度,延迟计数模值范围]1000,0[∈M 。

为了保证桶形存储器中没有历史数据残余,可以使用这里的一种 算法:单片机要发送的延迟值为d N ,必须使延迟计数器在使能之前预先延迟

d p N t -=1000 式(2-3)

这段时间可以通过软件设置来强制延迟。 (5)显示分辨率 40 ? 32

由于显示的线有垂直的线,因此我们没有采用平时显示曲线的xy 方式而采用了xyz 方式。而xyz 方式显示占用空间时间都大,并且频率低的时候不容易观察,显示不稳定,而显示的数据的信息量比较小,仅有8个通

道每一个通道又仅仅包含0-1电平,因此我们用用四个象素点来区别0-1电平,利用1个象素点来区别通道。最小一个周期显示4个点,最多的时候显示8个周期需要32个点。因此我们尽量的提高效率的情况下我们采用了40 ×32 的分辨率。这样使得刷新频率在示波器上限频率不变的情况下可以提高。

如果需要看的效果更好一点的话可以看我们为更高级用户设计的同步显示的液晶上的波形。

(6)时基扩展

我们用过采样的方法,对采集回的数据采样率

f与扫描速度S档位设定

0s

KSa/

10的采样率,将题目基本要求指标进行了较大幅度的提高,将扫s

描速度最低100ms按1,2,5分档。

平移显示缓存在内存中的首址并刷新缓存,执行显示程序可以实现时基的平移,此时可以看见屏幕边缘的波形的前驱或者后继波形。我们最多可以显示的不重叠的波形的页数为1000除以32 等于31页

三、电路设计与实现

1 序列信号产生器的实现

序列形式由用户任意可编程的,频率产生的范围是KHz

10,输出

~

Hz10

的频率有两种形式:一是固定100Hz的频率输出,它是为了满足题目基本要求而设计的;另一个可以由用户编辑在设计范围的预置频率输出。

产生数字序列的原理是将用户输入的数字序列放入一块内存空间,软件按地址自增的方式将序列送出,如图3-1要产生题目示例中的波形只要编辑

图右方的序列,依次将序列按照程控的频率KHz Hz 1~10送出之后,就形成了频率可控的循环移位序列,同理按照用户的编辑可以产生用户编辑的任意序列。

为了实现同步时钟的输出可以按照用户编辑的形式通过两片锁存器同步输出。电路的实现比较简单,如图3-2所示只要用锁存器将当前单片机读出的存储器的值锁存即可。为了实现同步时钟(外部时钟)输出,我们采用两次锁存的方法实现时钟的同步输出:首先向地址8000H ,传送1个BYTE 的数据,将当前的内存地址中的数据字,锁存到1U 中;锁存器2U 、3U 共用片选信号,当再次发送同步时钟,同步时钟将被锁存到锁存器1U 的输出端Q 1,上一次锁存到1U 中的数据字将同时锁存到2U 端,从而实现了同步。输出时经过一级24574LS 缓冲器将CMOS 电平驱动为TTL 。

Q 0Q 1Q 7Q 6Q 5Q 4Q 3Q 210ms

周期80ms

clock

00000101 10000010 01000001 10100000 01010000 00101000 00010100 00001010

地址自增

图3-2 数字信号同步输出电路图

2 逻辑输入电路设计

输入电路的核心是比较电路,即将输入信号与设定门限电平相比较,

当输入信号

U的幅度超过门限电平时,比较器输出为低。为了消除叠加in

噪声,设计时引入正反馈,进行迟滞比较、可以消除噪声干扰的影响。

本系统采用LM339实现比较器功能。LM339对比较信号源的内

阻限制不大,共模范围宽,差动输入可以等于电源电压。它可以满足输

入电路对输入阻抗Ω

50的要求,另外与D/A配合完成对门限电压16级

≥K

程控变化。

图3-3 迟滞比较电路形式及输入输出关系

比较器的反馈到同向端电压,f

f

ref O R R R V R V V ++=

111 式(3-1)

如图3-3按照正反馈电路跳变的临界状态,求出迟滞电平: 临界状态:高电压翻转时 H ref V V V =-+?)1(5ββ 式(3-2)

与低电压翻转时 L ref V V V =-+?)1(0ββ 式(3-3)

β为正反馈系数,ref V 为D/A 输出的基准电压,H V 为高迟滞电压、L

V 为低迟滞电压。可见,H V 和L V 都是在原来初值上叠加D/A 的步进精度。

由式(3-2)与式(3-3)可知,β?=-=V V V U L H W 5

电路不仅满足V 25.0的步进要求,而且还要使L H V V -小于两个档位之间的差值。我们将L V 置于每两档之间,可以满足上面的要求取V U W 125.0=

所以,正反馈系数取40

1

=

β

L V H V

W

U

Ui

Uo

图3-4 单通道迟滞比较电路

实际采用的如图3-4所示,其中3R 为输出的上拉电阻,反馈系数由电阻分压而得,2R 取为ΩK 390,4R 取为ΩK 10时,40

1

=

β 。 D/A 的模拟量的初值为V V V V ref 128.039

40

125.01125.0=?=-=

β,D/A 步进精度为V 256.0,这样形成的门限电压的步进值与A D /输出模拟量的关系如表3-1中所示。

D/A 模拟输出(V) 0.128 0.384 0.64 0.896 1.152 1.408

1.664 1.92 H V (V) 0.25 0.50 0.75 1.00 1.25

1.50 1.75

2.00 L V (V)

0.125 0. 375 0.675 0.875 1.125 1.375 1.675 1.875 D/A 模拟输出(V) 2.176

2.432 2.688 2.944

3.2 3.456 3.712 3.968 H V (V)

2.25 2.50

2.75

3.00 3.25 3.50 3.75

4.00 L V (V)

2.125 2. 375 2.675

2.875

3.125

3.375

3.675

3.875

图3-5 迟滞比较器仿真电路

图3-5为Multisim 仿真电路图:用电源模拟了当前D/A 的输出0.122V , 图3-6给出的示波器的波形记录,从放大后的波形上看V V H 25.0=、

V V L 125.0=验证了我们的理论计算的正确性。另外15级的输入输出经过

仿真也与理论值十分接近。

图3-6 迟滞比较器测试电压波形仿真结果

本系统共有8路独立的数字信号输入,另外有1路同步时钟,各路均通过上面的电路进行迟滞比较,再接入到FPGA 采集模块。电路原理

见附录图7-4。

3 数据采集模块的PLD设计

FPGA器件采用的是Altera公司的可编程器件EP1K50。EP1K50是一种高密度,高性能的FPGA,有2880个宏单元,249个I/O引脚,可以满足逻辑数量的要求。利用支持在系统编程(ISP),用较短的时间从硬件上实现了复杂的控制逻辑,减少了软件的编写量,加快了系统设计的速度。

图 3-6 采集模块与单片机的接口模块

图 3-7采集模块的顶层文件

采集模块由接口模块和采集控制模块组成。

如图 3-6,接口模块由一个8

3 译码器、DFFE和一个读信号组合逻辑构成,它的主要作用是形成FPGA内部模块的地址,供单片机访问和控制内部模块使用。

A000十六位分频器寄存器的低八位

H

A001十六位分频器寄存器的高八位

H

A002十六位延迟计数器寄存器的低八位

H

A003十六位延迟计数器寄存器的高八位

H

A004外部读模式与内部写模式选择

H

A007内外时钟选择

A006捕获开始H

A005触发使能H

H

图3-8 数据采集仿真时序

图3-8是采集控制模块的工作时序仿真,其中信号为单片机置FPGA的工作模式,在给图中可以看出在工作模式下(rwslt=0)当输出低脉冲后,开始数据捕捉;从该时刻到trigen变高,是软件强制延迟的时间;trigen 变高后允许触发,无论是内触发还是外触发在第一个触发信号来临后如果上位机没有把rwslt变低的话,触发后延时模块开始计时,当触发后采集的个数达到预定的值的时候FPGA把dly_sta置高,把端口子地址7(实际中的端口地址0x0A07地址)的d0位置高并且停止地址计数。上位机可以用dly_sta 的上升沿触发中断,或者循环查询看是否触发并采集结束。变低后,该模块处于和外部通信状态,可以从最低端端口依次读出RAM中的数据,读完整个内存后刚好地址回到初始值。

4 示波器显示控制电路

图3-9 扫描控制设计

示波器显示控制电路通信接口(Interface)的结构与采集模块的接口原理相同,它对总线译码形成了几个访问地址:

端口地址:H

A001写显存地址

端口地址:H

A002内部功能模块的公用清零端

端口地址:H

A003外部写模式与内部读模式选择

FPGA时序仿真验证:

(1)当执行指令MOV A003H,#00H 时,读写控制器状态为外部写模式,如图3-10所示,执行完该指令后,如若再执行MOV A000H,#??H 则执行写显存操作(地址增量方式)。

(2)当执行指令MOV A003H,#01H时,读写控制器状态为内部读模式,执行完该指令后,等读地址计数器循环复位后即取得同步,它将

完成以下操作:

○1选通双通道A

D/转换器的地址,水平扫描阶梯电压输出时,选通水平

通道地址;垂直扫描阶梯电压输出时,选通垂直通道地址;

○2地址增量方式读出数据,并实现并串转换,通过Z轴实现并串转换;

○3同步扫描的逆程时间,该时间Z轴输出逻辑1(消隐状态)

(3)垂直扫描正程(白色区间):垂直扫描全程时间 = 40:1,满足设计要求。如图3-11;

(4)水平扫描正程时间(白色区间):水平扫描 = 32:1,满足设计要求。如图3-12,这里每字节数据代表8个水平扫描阶梯。

图3-10 MOV A003H,#00H的指令时序

经过相同的仿真步骤可以完成对MOV A003H,#01H的指令时序,仿真结果也正确。

图3-11 垂直方向一个周期的消隐状态输出

图3-12 水平方向一个周期的消隐状态输出

经过仔细观察比较,可以确定以上的时序已达到了我们设计功能要求。

5 掉电保护电路

电路利用实时日历钟芯片内的空闲RAM,它带有电池供电回路:当有外接电源时,电池处于充电状态,PCF8538的Vcc由外接电源供给;当断电或掉电时,才用电池供电,以保持PCF8538在断电或掉电仍然工作或保持RAM中的值。如图3-13:

图 3-13 掉电保护电路

当程序运行期间,将有关系当前状态的全局变量写入PCF8538的空闲内存,系统复位时,首先利用程序将保存的值付给全局变量,系统就恢复到掉电以前的状态。

四软件设计与实现

1软件功能

(1)数字信号发生器及键盘操作

图4-1

初始化

定时中断键盘输入

获取计数

序列

缓冲区数据

获取触发字spi通信协议

图4-2

2基本控制流程图

图4-3

五、 系统测试与结果分析

为了验证我们的作品达到了题目要求,我们提出了自己的测试方案。 测试仪器:示波器 Agilent 54622D (100M ) 数字模拟混合示波器

信号源 MOTECH(茂迪)FG-506

万用表 胜利DT890D

初始化

选择触发方式

选择触发源 触发条件设置

获得触发位置

送FPGA 控制字 使能触发

触发过程 处理模块

显示模块

送FPGA 控制串

等待触发条件

满足释抑时间? 自动触发

满足触发条件

触发

End_flag

N

Y

等待中断

逻辑分析仪软件总流程

触发方式的实现

频谱分析仪的设计方案及实际应用案例汇总

频谱分析仪的设计方案及实际应用案例汇总 频谱分析仪是研究电信号频谱结构的仪器,用于信号失真度、调制度、谱纯度、频率稳定度和交调失真等信号参数的测量,可用以测量放大器和滤波器等电路系统的某些参数,是一种多用途的电子测量仪器。现代频谱分析仪能以模拟方式或数字方式显示分析结果,能分析1 赫以下的甚低频到亚毫米波段的全部无线电频段的电信号。仪器内部若采用数字电路和微处理器,具有存储和运算功能;配置标准接口,就容易构成自动测试系统。 基于MSP430 的FM 音频频谱分析仪的设计方案 本文中主要提出了以MSP43 处理器为核心的音频频谱分析仪的设计方案。以数字信号处理的相关理论知识为指导,利用MSP430 处理器的优势来进行音频频谱的设计与改进,并最终实现了在TFT 液晶HD66772 上面显示。 基于NIOS II 的频谱分析仪的设计与研制 本设计完全利用FPGA 实现FFT,在FPGA 上实现整个系统构建。其中CPU 选用Altera 公司的Nios II 软核处理器进行开发, 硬件平台关键模块使用Altera 公司的EDA 软件QuartusIIV8.0 完成设计。整个系统利用Nios II 软核处理器通过Avalon 总线进行系统的控制。 基于频谱分析仪二代身份证读卡器测量 本文所介绍使用频谱仪检测RFID 读卡器的应用实例也是一种通用检测 方案,可广泛应用在RFID 读卡器和主动式电子标签研发过程中的调试、产线 的检验等多个方面。 基于频谱分析仪分析手机无线测试 本文将对手机无线通信中遇到的问题提出相应的解决方案。手机在进行通信时存在着频段控制、通信质量检测和信号大小控制等问题。被射频工程师

逻辑分析仪使用手册.pdf

目录 概述 (1) 第1章逻辑分析仪原理及基本概念 (2) 1.1逻辑分析仪原理 (2) 1.2逻辑分析仪基本概念 (2) 1.2.1定时采样 (2) 1.2.2状态采样 (3) 1.2.3动态采样 (3) 1.2.4存储容量 (3) 1.2.5采样时间 (4) 1.2.6测量带宽 (4) 1.2.7门限电压 (5) 1.2.8触发 (5) 1.2.9触发位置优先 (5) 1.2.10触发状态优先 (5) 第2章致远逻辑分析仪 (6) 2.1命名规则 (6) 2.1.1LA系列逻辑分析仪 (6) 2.1.2LAB系列逻辑分析仪 (6) 2.2功能特色 (7) 2.2.1测量线 (7) 2.2.2逻辑笔 (7) 2.2.3频率计 (8) 2.2.4双边沿同步采样 (9) 2.2.5触发方式 (9) 2.2.6数据滤波 (10) 2.2.7数据导出 (11) 2.2.8协议分析 (11) 2.3型号对比 (11) 2.3.1LA系列对比 (11) 2.3.2LAB系列对比 (12) 2.3.3LA系列与LAB系列对比 (13) 第3章如何使用逻辑分析仪 (14) 3.1逻辑分析仪软件安装 (14) 3.1.1安装ZlgLogic软件 (14) 3.1.2安装驱动程序 (18) 3.1.3软件升级 (19) 3.2逻辑分析仪硬件连接 (21) 3.3逻辑分析仪使用步骤 (25) 3.3.1频率测量 (25) 3.3.2总线测量 (28) 3.3.3SPI测量 (31) 3.3.4SPI总线分析 (32) i

3.3.5SPI触发设置 (34) 3.4逻辑分析仪使用注意事项 (36) 3.4.1确保接地良好 (36) 3.4.2合理设置采样频率 (37) 3.4.3合理设置触发方式 (37) 3.4.4合理设置门限电压 (37) 3.4.5使用Timing-State模式 (38) 3.4.6差分信号测量 (38) 第4章逻辑分析仪的应用 (39) 4.1逻辑分析仪队列触发的应用 (39) 4.1.1队列触发在数字通信系统的应用 (39) 4.1.2队列触发在工业自动化领域的应用 (40) 4.2逻辑分析仪数据延迟触发的应用 (42) 4.2.1原理分析 (42) 4.2.2测试步骤 (42) 4.3逻辑分析仪插件触发的应用 (44) 4.4逻辑分析仪外部触发的应用 (44) 4.4.1触发输出在电路调试中的应用 (44) 4.4.2触发输入在电路调试中的应用 (46) 4.4.3其它应用 (47) 4.5逻辑分析仪在数据采集开发系统中的应用 (47) 4.6逻辑分析仪在1-wire总线开发中的应用 (49) 4.7逻辑分析在LIN总线开发中的应用 (51) 4.8逻辑分析仪在DALI总线开发中的应用 (53) 4.9逻辑分析仪在CAN总线开发中的应用 (54) 4.10逻辑分析仪在FPGA开发中的应用 (55) 4.11逻辑分析仪在ACTEL平台中的应用 (57) 4.11.1方案介绍 (58) 4.11.2实现过程 (58) 4.12逻辑分析仪在RFID开发中的应用 (60) 4.12.1方案介绍 (60) 4.12.2方案实现 (60) 4.12.3实现过程 (61) 4.13逻辑分析仪在SDRAM开发中的应用 (62) 4.13.1硬件平台介绍 (62) 4.13.2建立应用平台 (63) 4.13.3逻辑分析仪测量应用 (64) 4.14逻辑分析仪在USB开发中的应用 (65) 4.14.1测量方法 (66) 4.14.2应用实例 (67) 4.15逻辑分析仪在CF卡开发中的应用 (68) 4.15.1CF卡原理 (68) 4.15.2插件解码分析 (69) 4.16逻辑分析仪在SD卡开发中的应用 (71) ii

基于51单片机的简易逻辑分析仪设计

本科生毕业设计报告学院物理与电子工程学院专业电子信息工程 设计题目:基于51单片机的 简易逻辑分析仪设计 学生姓名 指导教师 (姓名及职称) 班级 学号 完成日期:年月

基于51单片机的简易逻辑分析仪设计 物理与电子工程学院电子信息工程 [摘要]本设计完成了一种能进行数字电路中多路数据测试的简易逻辑分析仪。它以51单片机控制核心,数模转换器为逻辑信号门限电平控制电路,用按键和 12864LCD作为人机交互界面,采用C51进行模块化编程,实现了四路信号的测试,具有成本低,使用方便等特点。 [关键词]数字电路单片机数模转换器逻辑分析仪 1 设计任务与要求 本设计的主要任务及参数指标是:数据位数4位,存储深度80字;数据速率最高1kHz;输入阻抗大于50kΩ;逻辑信号门限电平在1.0V~4.0V 范围内按8级任意设定。 2 设计方案 本系统采用51单片机为控制核心,系统由单片机系统、逻辑电平控制、按键、LCD显示、系统电源等模块构成。被测数据输入到逻辑电平控制模块,然后进行单片机进行测试,按键用于控制逻辑信号门限电平的大小,系统电源为各模块供电,各模块的供电电压为5V。

图1 系统框图 3 设计原理分析 3.1 单片机系统电路设计 图2 单片机系统电路 单片机系统为逻辑分析仪的核心,负责控制逻辑分析仪的逻辑电平、检测按键并驱动LCD 进行显示。单片机系统电路如图2所示,由晶体振荡器Y1、电容C3和C4构成振荡器电路,为单片机提供时钟信号。电容C1、电阻R2和R1、按键KEY1构成单片机复位电路,高电平复位,当按键KEY1按下的时间超过2个机器周期以上时,单片机就执行复位操作。EA 接高电平,单片机首先访问内部程序存储器。J1为1KΩ的排阻,作为P0口的外部上拉电阻。在硬件制作时为了方便单片机的测试和功能的扩展,把所有的I/O 口均通过排针引出。 EA/VP 31X119X218RESET 9 RD 17WR 16 INT012INT113T014T115P101P112P123P134P145P156P167P178P0039P0138P0237P0336P0435P0534P0633P0732P2021P2122P2223P2324P2425P2526P2627P27 28 PSEN 29 ALE/P 30TXD 11RXD 10U18051 P10 P11P12P13P14P15P16P17P00P01P02P03P04P05P06P07P20P21P22P23P24P25P26P27 123456789J1 1K +5 Y112M +5 RXD TXD RD WR T0T1INT0INT1C3 22p F C4 22p F R28.2K C110u F +5 12J6CON2 KEY1SW2 R1100 . .

简易逻辑分析仪报告

简易逻辑分析仪 摘要 本系统是由单片机作为主控制器、可编程器件作为辅助控制单元来实现数字信号产生、逻辑信号采集和示波器显示。 由单片机为核心的信号发生器,实现了大范围可控频率、预设码型的信号输出;数据采集模块的输入电路中的程控迟滞比较器,提高了输入信道的抗干扰能力。可编程器件高密度特点在本系统中的应用,大大减少了外围器件,增强了系统的可靠性。带有LCD显示模块为用户控制提供友好的人机界面,实现了设置掉电保护功能,并支持鼠标操作和图形打印。 关键词逻辑分析仪单片机可编程器件程控迟滞比较器一、方案论证及选择

方案一: 利用普通的74系列移位计数器构成数字信号发生器,纯单片机方式实现逻辑分析仪。 图 1-1 方案一结构框图 如图1-1所示,数字信号发生器部分,利用74系列的移位计数器的基本功能,通过拨码开关向置数端预置循环序列,通过TTL 驱动输出数字信号。逻辑分析仪部分的门限电压由电位器控制。这种方法单片机除了完成基本的数据分析外,还需要完成对逻辑数据的采集、存储、显示等大量控制工作。 方案二: 由单片机产生数字信号序列,由另外两片单片机构成逻辑分析仪。 射随器 门限 比较器 电位器 调压电路 单 片 机 Z Y X D/A D/A 预 置 拨码开关 序列 输出 数字信号发生器 简易逻辑分析 100Hz 时钟 键盘 级联74 移位计数器 数码管

图 1-2 方案二结构框图 如图1-2所示,相比方案一在信号产生上方案二采用了单片机方案,数码管显示循环序列码状态,本方案用软件可以实现不同频率、更加复杂数字信号的输出。在逻辑分析仪部分,部分的特点是双单片机结构,二者通过串口通信,下位机单片机3只负责显示,上位机单片机2通过D/A 输出程控的门限电平。本方案解决了显示与数据采集处理不能同时工作的矛盾, 方案三 利用FPGA/CPLD 的高速特点,实现系统并行工作,这是本方案相比于方案二的特色之一。用可编程器件可以高速完成单一功能模块。FPGA/CPLD 的使用弥补了单片机在高速采集和实时显示的弱点,使整个系统的处理能力远超过当前微控制器的水平,这使设计十分具有发挥的空间。而且通过合理地划分软硬件的工作量,将使软件控制和软件编写变得容易。 单 片 机 2 单 片 机 3 单 片 机 1 输出级TTL 驱动 射随器 D/A 门限 比较器 串口 通信 Z Y X D/A D/A 数码管 键盘 数字信号发生简易逻辑分析 键盘 数码管

简易频谱分析仪课程设计

东北石油大学课程设计 2014年7月18 日

东北石油大学课程设计任务书 课程通信电子线路课程设计 题目简易频谱分析仪 专业姓名学号 主要内容、基本要求、主要参考资料等 主要内容: 设计一个测量频率范围覆盖为10MHz-30MHz,可根据用户需要设定显示频谱的中心频率和带宽,还可以识别调幅,调频和等幅波信号的简易频谱分析仪。基本要求: (1)频率测量范围为10MHz--30MHz; (2)频率分辨力为10kHz,输入信号电压有效值为20mV±5mV,输入阻抗为50Ω; (3)可设置中心频率和扫频宽度; (4)借助示波器显示被测信号的频谱图,并在示波器上标出间隔为1MHz 的频标。 主要参考资料: [1]谢家奎.电子线路(非线性部分)[M].北京:高等教育出版社. [2] 张建华.数字电子技术[M].北京:机械工业出版社. [3] 陈汝全.电子技术常用器件应用手册[M].北京:机械工业出版社. 完成期限2014.7.14 — 2014.7.18 指导教师 专业负责人 2014年7 月14 日

摘要 系统利用SPCE061A单片机作为主控制器,采用外差原理设计并实现频谱分析仪:利用DDS芯片生成10KHz步进的本机振荡器,AD835做集成混频器,通过开关电容滤波器取出各个频点(相隔10KHz)的值,再配合放大,检波电路收集采样值,经凌阳单片机SPCE061A的处理,最后送示波器显示频谱。测量频率范围覆盖10MHz-30MHz,可根据用户需要设定显示频谱的中心频率和带宽,还可以识别调幅,调频和等幅波信号。 关键词:SPCE061A;DDS;频谱分析仪

基于单片机的简易逻辑分析仪毕业设计论文

基于单片机的简易逻辑分析仪 目录 第1节引言 (3) 1.1系统概述 (3) 1.1.1系统的特点 (4) 1.1.2系统的功能 (4) 第2节系统主要硬件电路设计 (5) 2.1 系统结构框图 (5) 2.2 主体控制模块 (5) 2.3 系统硬件的主体实现 (7) 2.3.1 数字信号发生器模块的电路设计与实现 (7) 2.3.2 主控系统模块的电路设计与实现 (8) 2.3.3 LED显示模块的电路设计与实现 (10) 2.3.4 硬件的抗干扰措施 (12) 第3节系统软件设计 (13) 3.1 系统软件流程 (13) 3.2 中断服务子程序 (15) 3.3 AT24C04程序设计 (15) 第4节结束语 (19) 参考文献 (20) 基于单片机的简易逻辑分析仪

第1节引言 信息时代是数字化的时代,数字技术的高速发展,出现了以高性能计算机为核心的数字通信、数字测量的数字系统。在研究这些数字系统产品的应用性能的同时也必须研究在设计、生产和维修他们的过程中,如何验证数字电路设计的合理性、如何协调硬件及其驱动应用软件的工作、如何测量其技术指标以及如何评价其性能。逻辑分析仪的出现,为解决这些问题提供了可能。 随着数字系统复杂程序的增加,尤其是微处理器的高速发展,用示波器测试己显得有些无能为力。1973年在美国应运而生的逻辑分析仪(Logic Analyzer),能满足数字域测试的各种要求。它属于总线分析仪一类的数据域测试仪器*主要用于查找总线(或多线)相关故障.同时对于数据有很强的选择能力和跟踪能力,因此,逻辑分析汉在数字系统的测试中获得了广泛的应用。 逻辑分析仪(Logic Analyzer)是以逻辑信号为分析对象的测量仪器。是一种数据域仪器,其作用相当于时域测量中的示波器。正如在模拟电路错误分析中需要示波器一样,在数字电路故障分析中也需要一种仪器,它适应了数字化技术的要求,是数字、逻辑电路、仪器、设备的设计、分析及故障诊断工作中不可按少的工具。在测试数字电路、研制和维修电子计算机、微处理器以及各种集成化数字仪表和装置中具有广泛的用途;还是数字系统设计、侦错、软件开发和仿真的必备仪器;作为硬件设计中必不可少的检测工具,还可将其引入实验教学中,建立直观感性的印象,提升学生的硬件设计能力,可以全面提高教学质量;随着科技的发展,LA在多通道、大存储量、高采样速率、多触发功能方面得到更快的发展,在航天、军事、通信等数字系统领域得到越来越广泛的应用。 我们从上面可以看出逻辑分析仪在各个领域的广泛应用。那么我们在学习、应用的同时设计并制作一个简易的逻辑分析仪就显的意义重大了,这样这个过程既可以让我们更加深入理解其原理,又可以提高动手设计并制作整个系统电路的能力,还可以将其作为简易仪器应用于以后的实验中。 1.1系统概述 因在本节中,我们将对简易逻辑分析仪的应用进行分析。给出它的特点,能实现的功能以及系统的简单操作 1.1.1 系统的特点 逻辑分析仪也称逻辑示波器,它是用来分析数字系统逻辑关系的一种仪器。逻辑分析仪的主要作用有二个:一是用于观察的形式显示出数字系统的运行情况,相当于扩展了人们的视野,起一个逻辑显示器的作用;二是对系统运行进行分析和故障诊断。

简易频谱分析仪

简易频谱分析仪[ 2005年电子大赛二等奖] 摘要:本设计以凌阳16位单片机SPCE061A为核心控制器件,配合Xilinx Virtex-II FPGA及Xilinx公司提供的硬件DSP高级设计工具System Generator,制作完成本数字式外差频谱分析仪。前端利用高性能A/D对被测信号进行采集,利用FPGA高速、并行的处理特点,在FPGA内部完成数字混频,数字滤波等DSP 算法。 SPCE061A单片机是整个设计的核心控制器件,根据从键盘接受的数据控制整个系统的工作流程,包括控制FPGA工作以及控制双路D/A在模拟示波器屏幕上描绘频谱图。人机接口使用128×64液晶和4×4键盘。本系统运行稳定,功能齐全,人机界面友好。 关键字:SPCE061A 简易频谱分析仪 一、方案论证 频谱分析仪是在频域上观察电信号特征,并在显示仪器上显示当前信号频谱图的仪器。从实现方式上可分为模拟式与数字式两类方案,下面对两种方案进行比较: 方案一:模拟式频谱分析仪 模拟方式的频谱仪以模拟滤波器为基础,通常有并行滤波法、顺序滤波法,可调滤波法、扫描外差法等实现方法,现在广泛应用的模拟频谱分析仪设计方案多为扫描外差法,此方案原理框图如图1.1:

图 1.1 模拟外差式频谱仪原理框图 图中的扫频振荡器是仪器内部的振荡源,当扫频振荡器的频率在一定范围内扫动时,输入信号中的各个频率分量在混频器中产生差频信号 (),依次落入窄带滤波器的通带内(这个通带是固定的),获得中频增益,经检波后加到Y放大器,使亮点在屏幕上的垂直偏移正比于该频率分量的幅值。由于扫描电压在调制振荡器的同时,又驱动X放大器,从而可以在屏幕上显示出被测信号的线状频谱图。这是目前常用模拟外差式频谱仪的基本原理。模拟外差式频谱仪具有高带宽和高频率分辨率等优点,但是模拟器件调试复杂,短期实现有难度,尤其是在对频谱信息的存储和分析上,逊色于新兴的数字化频谱仪方案。 方案二:数字式频谱分析仪 数字式频谱仪通常使用高速A/D采集当前信号,然后送入处理器处理,最后将得到的各频率分量幅度值数据送入显示器显示,其组成框图如图1.2: 图 1.2 数字式频谱仪组成框图

基于51单片机的简易逻辑分析仪设计说明书

word文档整理分享 本科生毕业设计报告学院物理与电子工程学院专业电子信息工程 设计题目:基于51单片机的 简易逻辑分析仪设计 学生姓名 指导教师 (姓名及职称) 班级 学号 完成日期:年月

基于51单片机的简易逻辑分析仪设计 物理与电子工程学院电子信息工程 [摘要]本设计完成了一种能进行数字电路中多路数据测试的简易逻辑分析仪。它以51单片机控制核心,数模转换器为逻辑信号门限电平控制电路,用按键和 12864LCD作为人机交互界面,采用C51进行模块化编程,实现了四路信号的测试,具有成本低,使用方便等特点。 [关键词]数字电路单片机数模转换器逻辑分析仪 1 设计任务与要求 本设计的主要任务及参数指标是:数据位数4位,存储深度80字;数据速率最高1kHz;输入阻抗大于50kΩ;逻辑信号门限电平在1.0V~4.0V 范围内按8级任意设定。 2 设计方案 本系统采用51单片机为控制核心,系统由单片机系统、逻辑电平控制、按键、LCD显示、系统电源等模块构成。被测数据输入到逻辑电平控制模块,然后进行单片机进行测试,按键用于控制逻辑信号门限电平的大小,系统电源为各模块供电,各模块的供电电压为5V。

图1 系统框图 3 设计原理分析 3.1 单片机系统电路设计 图2 单片机系统电路 单片机系统为逻辑分析仪的核心,负责控制逻辑分析仪的逻辑电平、检测按键并驱动LCD 进行显示。单片机系统电路如图2所示,由晶体振荡器Y1、电容C3和C4构成振荡器电路,为单片机提供时钟信号。电容C1、电阻R2和R1、按键KEY1构成单片机复位电路,高电平复位,当按键KEY1按下的时间超过2个机器周期以上时,单片机就执行复位操作。EA 接高电平,单片机首先访问内部程序存储器。J1为1KΩ的排阻,作为P0口的外部上拉电阻。在硬件制作时为了方便单片机的测试和功能的扩展,把所有的I/O 口均通过排针引出。 EA/VP 31X119X218RESET 9 RD 17WR 16 INT012INT113T014T115P101P112P123P134P145P156P167P178P0039P0138P0237P0336P0435P0534P0633P0732P2021P2122P2223P2324P2425P2526P2627P27 28 PSEN 29 ALE/P 30TXD 11RXD 10U18051 P10 P11P12P13P14P15P16P17P00P01P02P03P04P05P06P07P20P21P22P23P24P25P26P27 123456789J1 1K +5 Y112M +5 RXD TXD RD WR T0T1INT0INT1C3 22p F C4 22p F R28.2K C110u F +5 12J6CON2 KEY1SW2 R1100 . .

labview的8位逻辑分析仪

目录 引言 (5) 一、LABVIEW和数字逻辑分析仪简介 (6) 1.1 LABVIEW简介 (6) 1.2 数字逻辑分析仪简介 (6) 1.3 实验平台简介 (8) 二、数字逻辑分析仪的总体设计 (8) 三、前面板设计 (11) 四、程序设计 (11) 五、调试及结果 (13) 六、总结心得 (14) 七、参考文献 (15)

引言 数字逻辑分析仪重点在于考察信号高于或低于某一门限电平值,以及这些数字信号与系统时间之间的相对关。逻辑分析仪是一种类似于示波器的波形测试设备,它可以监测硬件电路工作时的逻辑电平(高或低),并加以存储,用图形的方式直观地表达出来,便于用户检测,分析电路设计(硬件设计和软件设计) 中的错误,逻辑分析仪是设计中不可缺少的设备,通过它,可以迅速地定位错误,解决问题,达到事半功倍的效果。逻辑分析仪是利用时钟从测试设备上采集和显示数字信号的仪器,最主要作用在于时序判定。由于逻辑分析仪不像示波器那样有许多电压等级,通常只显示两个电压(逻辑1和0),因此设定了参考电压后,逻辑分析仪将被测信号通过比较器进行判定,高于参考电压者为High,低于参考电压者为Low,在High与Low之间形成数字波形。逻辑分析仪分为两大类:逻辑状态分析仪(Logic State Analyzer,简称LSA)和逻辑定时分析仪(Logic Timing Analyzer)。这两类分析仪的基本结构是相似的,主要区别表现在显示方式和定时方式上。 LabVIEW是目前国际上唯一的编译型图形化编程语言,使用“所见即所得”的可视化技术建立人机界面,使用图标表示功能模块迷失用图标之间的连线表示各模块间的数据传递。同时LabVIEW继承了高级编程语言的结构化和模块化编程的优点,支持模块化与层次化实际,这种结构的实际增强了程序的可读性。 LabVIEW是一种图形化的编程语言和开发环境,它广泛地被工业界、学术界和研究实验室所接收,被公认为是标准的数据采集和仪器控制软件。LabVIEW 是一个功能强大且灵活的软件,利用他可以方便的建立自己的虚拟仪器。以LabVIEW为代表的图形化编程语言,又称为“G”语言。使用这种语编程时,基本上不需要编写程序代码,而是“绘制”程序流程图。LabVIEW尽可能利用工程技术人员所熟悉的术语、图标和概念,因而它是一种面向最终用户的开发工具,可以增强工程人员构建自己的科学和工程系统的能力,可为实现仪器编程和数据采集系统提供便捷途径。 本次课程设计就是在LabVIEW基础上设计一个8位数字逻辑分析仪。并从中学习和了解LabVIEW的运用和编程。

基于单片机的简易逻辑分析仪的毕业设计论文

基于单片机的简易逻辑分析仪毕业设计论文 目录 第1节引言 (3) 1.1系统概述 (3) 1.1.1系统的特点 (4) 1.1.2系统的功能 (4) 第2节系统主要硬件电路设计 (5) 2.1 系统结构框图 (5) 2.2 主体控制模块 (5) 2.3 系统硬件的主体实现 (7) 2.3.1 数字信号发生器模块的电路设计与实现 (7) 2.3.2 主控系统模块的电路设计与实现 (8) 2.3.3 LED显示模块的电路设计与实现 (10) 2.3.4 硬件的抗干扰措施 (12) 第3节系统软件设计 (13) 3.1 系统软件流程 (13) 3.2 中断服务子程序 (15) 3.3 AT24C04程序设计 (15) 第4节结束语 (19) 参考文献 (20)

基于单片机的简易逻辑分析仪 第1节引言 信息时代是数字化的时代,数字技术的高速发展,出现了以高性能计算机为核心的数字通信、数字测量的数字系统。在研究这些数字系统产品的应用性能的同时也必须研究在设计、生产和维修他们的过程中,如何验证数字电路设计的合理性、如何协调硬件及其驱动应用软件的工作、如何测量其技术指标以及如何评价其性能。逻辑分析仪的出现,为解决这些问题提供了可能。 随着数字系统复杂程序的增加,尤其是微处理器的高速发展,用示波器测试己显得有些无能为力。1973年在美国应运而生的逻辑分析仪(Logic Analyzer),能满足数字域测试的各种要求。它属于总线分析仪一类的数据域测试仪器*主要用于查找总线(或多线)相关故障.同时对于数据有很强的选择能力和跟踪能力,因此,逻辑分析汉在数字系统的测试中获得了广泛的应用。 逻辑分析仪(Logic Analyzer)是以逻辑信号为分析对象的测量仪器。是一种数据域仪器,其作用相当于时域测量中的示波器。正如在模拟电路错误分析中需要示波器一样,在数字电路故障分析中也需要一种仪器,它适应了数字化技术的要求,是数字、逻辑电路、仪器、设备的设计、分析及故障诊断工作中不可按少的工具。在测试数字电路、研制和维修电子计算机、微处理器以及各种集成化数字仪表和装置中具有广泛的用途;还是数字系统设计、侦错、软件开发和仿真的必备仪器;作为硬件设计中必不可少的检测工具,还可将其引入实验教学中,建立直观感性的印象,提升学生的硬件设计能力,可以全面提高教学质量;随着科技的发展,LA在多通道、大存储量、高采样速率、多触发功能方面得到更快的发展,在航天、军事、通信等数字系统领域得到越来越广泛的应用。 我们从上面可以看出逻辑分析仪在各个领域的广泛应用。那么我们在学习、应用的同时设计并制作一个简易的逻辑分析仪就显的意义重大了,这样这个过程既可以让我们更加深入理解其原理,又可以提高动手设计并制作整个系统电路的能力,还可以将其作为简易仪器应用于以后的实验中。 1.1系统概述 因在本节中,我们将对简易逻辑分析仪的应用进行分析。给出它的特点,能实现的功能以及系统的简单操作 1.1.1 系统的特点 逻辑分析仪也称逻辑示波器,它是用来分析数字系统逻辑关系的一种仪器。逻辑

简易逻辑分析仪

安阳工学院电子信息与电气工程学院 《EDA技术》课程大作业 题目:简易逻辑分析仪 班级: 2011级电子信息工程一班 评分标准: 1、题目难易度。 10% 2、设计和结论正确,分析清晰合理。 40% 3、大作业报告阐述清晰,格式规范。 20% 4、陈述清晰,回答问题正确。 30% 大作业成绩 总成绩=T+J*40%+(J+J*(X-1/N))*30% T为回答问题成绩,J为教师成绩,X为学生自评分值,N为小组人数

简易逻辑分析仪 1. 设计任务 逻辑分析仪可以将数字系统中的脉冲信号、逻辑控制信号、总线数据甚至毛刺脉冲都能同步高速的采集进该仪中的高速RAM中暂存,以备显示和分析。我们所设计的简易逻辑分析仪是一个8通道的逻辑数据采集电路,它可以将输入到RAM中的计数结果通过输出线完整地按地址输出出来,其中CLK(时钟信号),CLK_EN(时钟使能信号),CLR(清零端),WREN(写入允许控制)和DIN(7..0)(写入允许控制),OUTPUT(八位数据输出)。预期可以将输入到简易逻辑分析仪中的数据可以完整的读取出来。 2.设计方案 我们所设计的简易逻辑分析仪主要有三个功能模块构成:一个8位LMP_RAM0,存储1024个字节,有十根地址线;一个十位计数器LMP_COUNTER和一个锁存器74244。设计思路框图如下图一: 图一设计思路框图 3. 方案实施 3.1、LPM计数器模块的设计 首先打开一个原理图编辑窗,存盘取名为 eda1,然后建成工程,在进入本工程的原理图,单击Mega Wizard Plug-In Manger 管理器按钮,然后进入如图二所示的窗口,选择LPM-COUNTER模块,再选择CycloneⅢ和VHDL;文件名为CONT10B。

简易逻辑分析仪

简易逻辑分析仪 摘要 本系统基于逻辑分析仪原理,以AT89C系列单片机为核心,设计制作完成了简易逻辑分析仪。本系统主要由数字信号发生器模块、采集存储和示波器显示模块、人机交互模块三部分组成。基于题目要求,本系统对触发方式、信号采集存储、示波器显示波形和时间标志线、友好的人机界面等功能进行了重点设计。经测试,各项指标均满足基本部分和发挥部分的要求,并且有些指标超出题目要求。 关键字:逻辑分析仪;单片机;液晶 Abstract: Keywords: Signal Oscilloscope;MCU;LCM 1、 总体方案设计 1. 方案比较和选择 方案一:纯FPGA/CPLD(可带IP核)或FPGA/CPLD与单片机结合方式。即由FPGA/CPLD产生数字序列信号,判断单、三级触发信号,设定门限电压,采集、存储、显示被测信号;由IP核实现人机交互及信号测量分析等功能;或由单片机完成IP核实现的功能。此方案优点是速度快、精度高。缺点是软硬件复杂、调试困难、程序不易控制、性价比极低;而且体现不出本方案的优势。 方案二:纯单片机方式。即由多片单片机分别完成数字序列发生器,判断触发信号、数据采集、存储、显示,人机交互、门限电压设定

等功能。优点是操作方便、软件结构清晰、控制灵活、调试容易、性价比较高。本系统对速度的要求不是很高,所以单片机完全能够胜任。 2. 系统设计方案 本系统以三片单片机AT89C51为核心,将设计任务分解为数字信号发生器模块、采集存储和示波器显示模块、人机交互模块三部分。其中核心和关键部分是采集存储和示波器显示模块,另外两个模块起辅助作用。总体系统框图如图1所示。 图1 2、 电路设计与分析 1.可预置的8路数字信号发生器 本模块用于产生8路可预置的重复循环移位逻辑信号序列,输出信号为TTL电平,序列时钟频率为100Hz。输出数字信号如图2示例所示。

简易逻辑分析仪

简易逻辑分析仪 一、方案论证及选择 1、系统总体框图如下: 整个系统由信号发生器部分、信号调理部分、ARM软件控制部分以及输出显示部分组成。 2、数字信号发生器模块 方案一:采用555定时器和可预置移位寄存器。用两片74LS194A接成8位可预置循环移位寄存器,方波发生器提供一时钟信号给移位寄存器,预置数用8个按键接入(即循环序列),此方案简单可靠。 方案二:用PC 通过软件编程可以从并行口输出信号波形,不需要硬件电路,且设计灵活,但是不适合电子设计竞赛,并且PC体积大,携带不方便。 方案三:采用中规模FPGA,使用VHDL语言设计移位寄存器。此方案可以实现精确定时产生信号,且信号频率可调,体积小, 但其显示电路占用资源多,这样设计出来的电路系统将大且复杂。 方案四:采用一片AT89C2051单片产生波形序列。用单片机产生数字信号,设计简单,设置灵活,频率调节方便。 综合分析上述各方案,比较其优缺点,本系统有其固定的频率要求,故选用最简单的方案一。 3、门限电压分级部分 方案一、采用单片机软件控制分级输出不同的电压值,给到比较器的反相端。该方案简单、且输出电压精确。 方案二、用单片机产生一路PWM波,再经过两级RC低通滤波可得到直流电压,通过控制PWM波的占空比来改变电压值,达到分级效果。该方案RC滤波得到的电压不稳定,且有纹波。

方案三、直流电源供5V电压,采用电阻、电位器进行一级一级的分压,以实现分级效果。该方案电路复杂,且电位器调节比较难。 方案四、采用数字式电位器,由单片机结合相应的外围电路进行控制,以实现分压。采用程控方式,得到的电压精确且稳定。 鉴于本系统软件程序较多,ARM内部仅两个DA,故选择方案四以避免使用单片机内部DA。 二、硬件部分单元电路 1、数字信号发生器电路 该部分采用了555定时器产生一定频率的时钟信号,通过改变滑动变阻器阻值可实现频率在一定范围内可变,定时器后接一个非门以增强后级驱动能力。定时器产生的方波信号作为双向移位寄存器74LS194的输入时钟,利用74LS194的两个控制端(S0,S1)来产生八路可预置的循环移位逻辑信号序列。当按键SW2按下时,74LS194将按键的逻辑状态输入移位寄存器,送入移位寄存器的这组数值便在时钟的控制下循环移位。 2、信号调理部分 由移位寄存器产生的逻辑信号经过电压比较器LM339,与一可调门限电压进行比较,并输出TTL逻辑电平。这些电平信号输入到单片机与用户自己设置的触发状态字进行比较进而输出题目要求采集的信号。由于LM339输出的信号电平为5V,而单片机可承受的电压最大为3.3V,故需在LM339后进行光耦隔离以防止外部设备给过大的电流给单片机,同时也达到降压的效果。

基于DSP的简易频谱分析仪设计

基于DSP的简易频谱分析仪设计 摘要 我们对一个信号的认识只在时间域是远远不够的,所以还要在频域去认识和分析它。在电子测量中,测量网络阻抗特性以及传输特性是经常遇到的问题问题,其中,幅频特性、增益和衰减特性、相频特性等是属于传输特性内的。它很大程度方便了调整,校准被测网络及排除故障。 本此设计制作了一个简易频谱分析仪从而可以更直观的看到信号的特性。为了实现这一目标,我们需要利用快速傅里叶变换(FFT)来实现对信号的频谱分析。由于DSP可以处理比较复杂的算法本次设计采用FFT算法通过DSP分析显示输入波形的频率值。 关键词:频谱分析DSP FFT 显示频率

The Simple Spectrum Analyzer Design Based on DSP Abstract We can’t know a signal only in the time domain .It is far from enough, so we also recognize and analyze it in the frequency domain. In the electronic measurement, impedance and transmission characteristics of the network are often encountered in the measurement problems; Transmission characteristics include the gain characteristics, attenuation characteristics, amplitude-frequency characteristic and phase frequency characteristics. It provides a great convenience for the adjustment of the network under test, calibration and troubleshooting. We design a simple spectrum analyzer to see the characteristics of the signal more intuitively. In order to achieve this goal, we need to use the fast Fourier transform ,that is FFT which make spectrum analysis of the signal. Since the DSP can solve the more complex algorithms than others. Hence, we designed a simple spectrum analyzer using the FFT algorithm by DSP to show the frequency of the input waveform. Key word s: Spectrum Analyzer ; DSP; FFT ; Frequency Display

逻辑分析仪使用教程

声明: 本文来自 另外,将68013制作逻辑分析仪的原理说明简单整理了一下,大家可以瞧瞧,如果想DIY也就不难了。点击此处下载ourdev_578200、pdf(文件大小:203K)(原文件名:逻辑分析仪开发手册、pdf) 前言 一、什么就是逻辑分析仪 二、使用介绍 三、安装说明 四、Saleae软件使用方法 五、逻辑分析仪硬件安装 六、使用Saleae分析电视红外遥控器通信协议 七、使用Saleae分析UART通信 八、使用Saleae分析IIC总线通信 九、使用Saleae分析SPI总线通信 十、Saleae逻辑分析仪使用问题与注意事项

淘宝地址: (原文件名:21、jpg) 前言: 工欲善其事,必先利其器。逻辑分析仪就是电子行业不可或缺的工具。但就是由于一直以来,逻辑分析仪都属于高端产品,所以价格居高不下。因此我们首先要感谢Cypress公司,提供给我们68013这么好的芯片,感谢俄罗斯毛子哥将这个Saleae逻辑分析仪开源出来,让我们用平民的价格,就可以得到贵族的待遇,获得一款性价比如此之高的逻辑分析仪,可以让我们在进行数字逻辑分析仪的时候,快速查找并且解决许多信号、时序等问题,进一步提高我们处理实际问题的能力。 原本计划,直接将Saleae的英文版本使用手册直接翻译过来提供给大家,我花费半天时间翻译完后,发现外国人写的东西不太符合我们国人的思维习惯,当然,也就是由于我的英语水平有限,因此,我根据自己摸索这个Saleae的过程,写了一份个人认为符合中国人习惯的Saleae,提供给大家,希望大家在使用过程中少走弯路,快速掌握使用方法,更快的解决自己实际遇到的问题。 由于个人水平有限,因此在文章撰写的过程中难免存在问题与错误,如果有任何问题,希望大家能够提出来,我会虚心接受并且改进,希望通过我们的交流,给越来越多的人提供更加优秀的资料,共同进步。 一、什么就是逻辑分析仪: 逻辑分析仪就是一种类似于示波器的波形测试设备,它通过采集指定的信号,并通过图形或者数据统计化的方式展示给开发人员,开发人员通过这些图形化时序信号按照协议来分析硬件或者软件中的错误。逻辑分析仪就是设计中不可缺少的设备,通过它,可以迅速定位错误,发现并解决问题,达到事半功倍的效果,尤其在分析时序,比如1wire、I2C、UART、SPI、CAN等数据的时候,应用逻辑分析仪解决问题非常快速。 如果在您的工作中有数字逻辑信号,您就有机会使用逻辑分析仪。因此应选好一种逻辑分析仪,既符合所用的功能,又不太超越所需的功能。用户多半会找一种容易操作的仪器,它在功能控制上操作步骤较少,菜单种类也不多,而且不太复杂。而Saleae就就是一种低端的,比较适合大众化的逻辑分析仪,价格便宜,而且常用的逻辑分析功能足够,人机界面人性化,非常适合实用。 以下就是一个Saleae分析I2C时序的一个典型例子:从图中我们可以清晰的瞧到,起始信号start,从地址就是0x50的器件中去读取数据,第一个字节就是0xc0,第二个字节就是0x50,有了逻辑分析仪,我们可以快捷的找出我们的I2C时序读写数据的正确与否,可以很快将问题解决。后边的讲解中,我会详细讲解逻辑分析仪分析红外遥控器,UART时序,I2C时序的具体方式方法。

简易逻辑分析仪设计报告3

D7简易逻辑分析仪 摘要 本系统由8位可预置的循环移位数字信号发生器、简易逻辑分析仪两部分组成。 循环移位数字信号发生器由51单片机控制,可以产生8位逻辑信号序列和时钟信号波形,并且逻辑信号序列可以预置。其中一路输出电平可在0~5V内调节。采用16×2液晶显示各设置参数,显示直观,设置方便。 在简易逻辑分析仪的设计中,由于要在示波器上显示8路波形,此操作占用大量运算时间,故而采用双单片机协同工作的方式:其中一片单片机作输入控制、数据存储、数据显示及各控制参数设置;另一片单片机控制D/A转换器输出波形到示波器。两单片机之间采用串行方式进行通信。我们采用XY扫描方式的显示方法。X轴的锯齿波信号由D/A转换器产生,由于要同时显示8路信号,所以Y轴的信号由被测信号、时标信号和参考电平相加得到,在软件配合下,可以比较方便实现8路信号的稳定显示,同时也可显示时间标志线和触发点位置。 在本设计中,采用插线连接信号发生器和简易逻辑分析仪,连接方式灵活、方便。数据采集有单级、多级(3级)触发方式。信号采集电路中采用门限电压调节电路,可以采集0.1~4.5V门限的各种逻辑电平,存储深度达到24Bit,示波器可以实现对8路24Bit信号同时显示。时间标志线,触发点等功能完善。达到了基本的设计要求,并对其它的功能进行了较好的完善和扩充。

第一部分:方案论证与比较 由于本题目实际由两个相对独立的部分组成,所以我们对两个部分分别进行分析讨论: 一、数字信号发生器 根据题目要求,我们考虑可以采用以下几种方案可以采用: 1、方案一:采用555定时器和可预置移位寄存器。用74LS194A接成8位可预置循环移位寄存器,方波发生器提供一时钟信号给移位寄存器,预置数用8个波段开关接入(即循环序列)。此方案简单可靠,但信号频率不易更改,硬件复杂,不易扩展。 2、方案二:采用EPROM固化波形输出,EPROM存储容量大,将各种波形的产生程序固化到EPROM中,一振荡器接一8位的计数器送EPROM 8位数据输出,8位计数器需要3位地址线再加上8位选择开关切换不同波形显示,这样就有11位地址线可寻址2K空间。尽管此种方法可产生较好的波形,但使用时不够灵活,只有固定的几种波形。 3、方案三:用PC 通过软件编程可以从并行口输出信号波形,不需要硬件电路,且设计灵活,但是不适合电子设计竞赛,并且PC体积大,携带不方便。 4、方案四:采用中规模FPGA,使用VHDL语言设计移位寄存器。此方案可以实现精确定时产生信号,且信号频率可调,体积小, 但其显示电路占用资源多,这样设计出来的电路系统将大且复杂。 5、方案五:采用一片89C51单片产生波形序列。用单片机产生数字信号,设计简单,设置灵活,频率调节方便,并且易扩展其他功能,有它独到之处! 综合分析上述各方案,比较其优缺点,包括灵活性、可靠性、可扩展性和易操作性,所以选用方案五。 二、简易逻辑分析仪 我们先对题目要求进行简单分析: 要实现本题目的基本要求,主要实现数据采集存储和控制示波器显示的功能。其中数据采集功能要求可采集8路信号,采集深度20位(我们的方案选择24位),数据量为24×8=24bytes,对于一般的单片机系统很容易实现。 对于显示功能,要求用示波器显示清晰稳定的8路数字波形,再包括额外的时间标线和触发点的显示,则共需要9个通道。对于示波器来说,为了显示的波形清晰稳定,一般要求扫描的刷新频率f>25Hz。每显示一路信号,需要沿X轴扫描24个位,设定每个位需要显示10个点,则显示一个通道需要24×10个点。 同时显示9通道的数字波形,则共显示24×9=216个位,216×10个点。由此可知,扫描一个点所需的时间为:1秒/(25祯*24位*9通道*10点)=18.5微秒/点。对于采用12MHz晶振的单片机来说,仅能执行大约15条指令。如果数据采集存储和控制显示功能由同一单片机来实现,处理起来十分困难。即使是24兆的单片,也只能执行30条左右而已。因而若用单MCU来实现,则须用更高性能的单片

相关主题
文本预览
相关文档 最新文档