当前位置:文档之家› 简易逻辑分析仪设计报告3

简易逻辑分析仪设计报告3

简易逻辑分析仪设计报告3
简易逻辑分析仪设计报告3

D7简易逻辑分析仪

摘要

本系统由8位可预置的循环移位数字信号发生器、简易逻辑分析仪两部分组成。

循环移位数字信号发生器由51单片机控制,可以产生8位逻辑信号序列和时钟信号波形,并且逻辑信号序列可以预置。其中一路输出电平可在0~5V内调节。采用16×2液晶显示各设置参数,显示直观,设置方便。

在简易逻辑分析仪的设计中,由于要在示波器上显示8路波形,此操作占用大量运算时间,故而采用双单片机协同工作的方式:其中一片单片机作输入控制、数据存储、数据显示及各控制参数设置;另一片单片机控制D/A转换器输出波形到示波器。两单片机之间采用串行方式进行通信。我们采用XY扫描方式的显示方法。X轴的锯齿波信号由D/A转换器产生,由于要同时显示8路信号,所以Y轴的信号由被测信号、时标信号和参考电平相加得到,在软件配合下,可以比较方便实现8路信号的稳定显示,同时也可显示时间标志线和触发点位置。

在本设计中,采用插线连接信号发生器和简易逻辑分析仪,连接方式灵活、方便。数据采集有单级、多级(3级)触发方式。信号采集电路中采用门限电压调节电路,可以采集0.1~4.5V门限的各种逻辑电平,存储深度达到24Bit,示波器可以实现对8路24Bit信号同时显示。时间标志线,触发点等功能完善。达到了基本的设计要求,并对其它的功能进行了较好的完善和扩充。

第一部分:方案论证与比较

由于本题目实际由两个相对独立的部分组成,所以我们对两个部分分别进行分析讨论:

一、数字信号发生器

根据题目要求,我们考虑可以采用以下几种方案可以采用:

1、方案一:采用555定时器和可预置移位寄存器。用74LS194A接成8位可预置循环移位寄存器,方波发生器提供一时钟信号给移位寄存器,预置数用8个波段开关接入(即循环序列)。此方案简单可靠,但信号频率不易更改,硬件复杂,不易扩展。

2、方案二:采用EPROM固化波形输出,EPROM存储容量大,将各种波形的产生程序固化到EPROM中,一振荡器接一8位的计数器送EPROM 8位数据输出,8位计数器需要3位地址线再加上8位选择开关切换不同波形显示,这样就有11位地址线可寻址2K空间。尽管此种方法可产生较好的波形,但使用时不够灵活,只有固定的几种波形。

3、方案三:用PC 通过软件编程可以从并行口输出信号波形,不需要硬件电路,且设计灵活,但是不适合电子设计竞赛,并且PC体积大,携带不方便。

4、方案四:采用中规模FPGA,使用VHDL语言设计移位寄存器。此方案可以实现精确定时产生信号,且信号频率可调,体积小, 但其显示电路占用资源多,这样设计出来的电路系统将大且复杂。

5、方案五:采用一片89C51单片产生波形序列。用单片机产生数字信号,设计简单,设置灵活,频率调节方便,并且易扩展其他功能,有它独到之处!

综合分析上述各方案,比较其优缺点,包括灵活性、可靠性、可扩展性和易操作性,所以选用方案五。

二、简易逻辑分析仪

我们先对题目要求进行简单分析:

要实现本题目的基本要求,主要实现数据采集存储和控制示波器显示的功能。其中数据采集功能要求可采集8路信号,采集深度20位(我们的方案选择24位),数据量为24×8=24bytes,对于一般的单片机系统很容易实现。

对于显示功能,要求用示波器显示清晰稳定的8路数字波形,再包括额外的时间标线和触发点的显示,则共需要9个通道。对于示波器来说,为了显示的波形清晰稳定,一般要求扫描的刷新频率f>25Hz。每显示一路信号,需要沿X轴扫描24个位,设定每个位需要显示10个点,则显示一个通道需要24×10个点。

同时显示9通道的数字波形,则共显示24×9=216个位,216×10个点。由此可知,扫描一个点所需的时间为:1秒/(25祯*24位*9通道*10点)=18.5微秒/点。对于采用12MHz晶振的单片机来说,仅能执行大约15条指令。如果数据采集存储和控制显示功能由同一单片机来实现,处理起来十分困难。即使是24兆的单片,也只能执行30条左右而已。因而若用单MCU来实现,则须用更高性能的单片

来实现。因此只用一片普通51单片来实现是不现实的。

针对以上分析,提出以下方案

1、方案一:采用高性能单CPU系统实现,比如32位的ARM芯片作为控制系统核心。如果采用此方案,可以很好的解决同时采样和控制显示的功能,但是ARM 系统设计调试复杂,在短时间内难以很好的完成设计,所以不宜采用此方案。

2、方案二:针对分析中提出的问题,我们也可以采用两片普通51单片机来实现系统设计,一片51实现数据采集,存储;另一片51实现控制示波器实时显示功能,两片51之间采用串行通信来解决数据通信问题,这样的方案可以满足题目提出的设计要求。

3、方案三:采用大规模FPGA来实现系统,

采用FPGA来实现相应功能,一般是使用状态机方式来实现,即所解决的问题都是规则的有限状态转换问题。分析本题目的要求,可以看出,其中的逻辑控制灵活多变,适合于采用程序控制的cpu执行方式,如使用FPGA来实现,大部分的资源会消耗用来控制键盘和显示等辅助功能,用在主逻辑控制方面的资源相对比较少。另外,考虑到逻辑复杂程度和实现规模,可采用芯片大概要到Alter EPF11C50、Alter EP1C6等级别的芯片来实现,但此种规模PLD系统受实验室条件限制,无法顺利开发,而且其所需元器件和EPC配置芯片在本地无法买到,因而综合比较后我们淘汰掉本方案。

综合分析上述各方案,比较其优缺点,包括灵活性、可靠性、可扩展性和易操作性,所以选用方案二。

第二部分:信号发生器实现方案

一、硬件组成:

硬件结构框图如下:

信号发生器由3个小模块构成

1、输出滞留稳压电源模块

电路图如下:

本模块主要完成对个电路供电的功能,主要由电源变压器、桥式整流器、电容滤波器、三端集成稳压器等组成。其作用是将交流电转换为平稳的直流电,核心部分是整流电路和稳压电路。

电源变压器将交流220V电压变为7.5V交流电,经由桥式电路全波整流作用以后,得到脉动直流电,经C5滤波后得到9V的直流电,电容器C6为三端稳压器W7805的输入端补偿电容,其作用是消除输入端引线过长引起的自激振荡,抑制电源的高频干扰,安装时尽量靠近集成稳压器,C7、C8为输出端补偿电容,以改善输出瞬态响应。

2、信号发生器模块

连接如原理图1.1所示

采用89C51产生逻辑循环序列信号,由P0口输出,即Q0--Q7的八路信号输出。输出序列信号由T0计数器溢出中断产生,采用方式1。

MAX813L具有上电复位、Watchdog输出、掉电电压监视、手动复位四大功能。WDI(Watchdog Input)主要是作为Watchdog计数器重定用的。在1.6秒内若CPU 不触发复位看门狗定时器,则WDO(Watchdog Output)将输出低电平。复位电路分为手工复位与上电复位。上电复位用比较器产生触发信号触发触发器,以此产生复位信号。同时,对时基产生的脉冲进行定时,当复位时间达140毫秒时,Reset 发生器产生一脉冲使复位信号无效。上电复位时,只要电压低于4.63V,复位信号Reset就有效;当电源电压超过4.63V时,Reset信号仍将继续保持140毫秒左右,以保证CPU复位可靠后无效。手动复位时,MR(Manual Reset)接地时间不小于150纳秒,则可产生一个手动复位过程。即在复位端产生140毫秒的有效复位信号(高电平有效)。若将WDO端与MR连接,则可组成上电复位及看门狗复位电路。

3、显示部分

液晶显示选用CA1602A,LCD显示数据输出接P1口,。液晶显示内容第一行为标题信息,第二行开始的8位0、1数字是循环输出的逻辑信号序列,接着输出的是信号的频率值。

二、软件组成:

1、流程图:

程序流程图如1.2所示。

2、软件说明:

在初始化部分,其频率值F的具体计算如下所示:

T0的计数初值:NUM= 65536-Fosc/(12×F) 对89C51 Fosc=12MHz

输出时钟频率为:F=100HZ,则一个时钟周期循环输出8位序列中的一位。则定时输出时钟脉冲时,NUM=65536-12M/(FF*12) FF=F/2;

要输出较为精确的频率,用计算到的常数进行循环产生脉冲,并按执行实际情况适当进行调整计数初值,使实际周期准确。

第三部分、简易逻辑分析仪方案实现

一、结构组成:

本分析仪由数据采集存储和控制显示两部分构成:数据采集存储模块由信号输入电路、89C52单片机、小键盘和液晶显示模块组成;逻辑状态与波形显示模块由D/A变换器(TLC7226)和89C52构成。两模块之间采用串行通信方式。

结构框图如下:

二、数据采集和存储部分

此部分对应框图中的MCU1、电压比较器、键盘和LCD液晶显示

1、输入电路:

八路输入信号通过电压比较器LM339和D/A转换器TLC7226提供的基准电压作比较后,作为存储单片的输入,8路信号接入LM339同相输入端,可以获得较大阻抗。

2、触发和存储原理:

本部分功能主要依靠51单片机来实现。

根据题目要求,对逻辑信号的采集是要依靠触发字来触发的。触发字又分单级触发字和三级触发字两种,单级触发字的预置依靠小键盘输入实现。当单片机采集到的状态字和用户所提供的触发字8位逻辑状态完全一致时,开始一次数据采集,连续采集24位,然后存储到显示缓冲区中,这样每个显示通道的存储深度为 24bit;

对于三级触发字方式,设定从外部采两位的状态,连续取三次,都和我们设定的两位逻辑状态一样的情况下,将进入3级触发采集状态,开始采集。

采集完24位数据后,存储起来。为了同时显示8路信号,所以数据送去显示前要把采集到的数据进行拼装处理,把采集到的24个字节排列成适合显示的8通道数据组合,每一通道存放从同一输入端口采集到的24BIT信息即组合形成8个

存储深度为24BIT的数据显示通道,这样就可以把数据依次送到示波器上按行扫描显示。

三、逻辑状态与波形显示部分

此部分对应框图中的MCU2、D/A转换器和示波器。本部分的主要难点集中在示波器显示控制上,我们先对示波器的显示方法进行分析讨论:

1、显示方法分析:

要能同时在示波器上看到8路信号波形,模拟示波器需要用外接扫描信号方式工作示波器上显示数字信号发生器的8路信号和1路触发点位置标识,共需9个通道。同时显示这9个通道的信号,需要采用动态扫描的方法:借助9个不同的基准电压,使显示的9路波形分别处在不同的位置上,即把要在示波器上显示的信号电平Qi+Vi偏置电平(i=0~7)加与示波器Y轴输入端。X轴输入则是由TLC7226提供的线性锯齿波。

显示的信号电平Qi+Vi其叠加效果如下图的Y++所示:

具体扫描方式有三种方案可供选择:

(1)、按行扫描方式,X用外触发方式,扫描10次扫完一屏。X要外接线性的锯齿波信号,Y和上述说明中没有变化。按行扫描送的数据是把数据采集存储24BIT深度后,把Q0--Q7的数据串行送到示波器,即先送Q0的24BIT,再依次送Q1--Q7的24BIT,扫完一屏要送9次(还有时标数据)。

时标的扫描方法:扫描光标是单独进行的一行扫描。我们在扫描光标亮点的时候,给X提供一个小平台电压,这样扫描光点在平台电压的持续时间内水平方向不移动,而与此同一时间,Y方向上快速扫描,形成一竖直的时标线。这样X 轴的电压是带一个小平台的锯齿波。

(2)、按行扫描方式,X用外触发方式,扫描9次扫完一屏,X、Y接法和方案一一样。此扫描方法没有单独去实现时标的显示扫描,时标的扫描显示通过将时标分成9次显示分别在各频道的扫描显示中打点显示,由于一屏的扫描时间为(1/25)S,眼睛是觉察不到时标的分段显示过程的。这样也可减少一次扫描时间,提高显示质量,且同样可以实现方案二中的竖直时标线,也不至于造成产生小平

台锯齿波的问题。此方法较简单,且效果也不错。

(3)、按列扫描方式,X采用外触发方式,故X、Y接法同方案2。

具体扫描过程是:按列扫描时送数据的顺序是8位为一组,即单片机采集一次的Q0--Q7共8BIT数据,扫完一屏需送24次。扫描第一个通道的10点(即1BIT)结束后,X输入的电平立刻变为此BIT的起始处的电平值,Y方向同时变化一台阶,再扫描第二个频道的10点,重复第一频道的操作,一直扫描到存储深度24(第0页),则扫完一屏。

此方案中X端的不规则锯齿输入的提供也是一个问题,这种方案情况下的时标输入也是先计算好位置,在单BIT扫描中打点实现的。

综合以上几种方案的分析比较,我们选用方案2,此方案灵活简单,实现起来相对方便,而且可以达到较好的效果。

2、具体硬件实现:

(1)、D/A转换器:

TLC7226提供的基准电压变化范围大(0~5V),可适应各种输入信号的逻辑电平,提高了测量范围和测量精度。

此输入电路在本设计中,由于信号发生器输出TTL电平,没有将这一优点体现出来。

数据显示模块用一片162A液晶显示,用高四位传输数据,接法与信号发生器中一样。

TLC7266加示波器显示模块

产生周期相同的X和Y信号,便于波形显示的同步,且可以显示较复杂的波形。但单片机通过D/A转换器产生波形产生信号波形时,输出频率会受到单片机本身工作速度的限制(12MHZ晶振主频时,机器周期为1us);其次,要有一定的显示质量,在信号的一个周期内取样点不能太少,这进一步限制了信号的频率。

TLC7226是高性能D/A转换器,片内包含四路8位电压输出数/模转换器(DAC),每个DAC都有分离的片内锁存器,数据通过一个公共的8位TTL/CMOS兼容(5V)输入口送入这些数据寄存器之一。由A0和A1决定/WR变低时哪个DAC被加载。在/WR 的上升沿,数据被锁存在被寻址的输入寄存器,只有保存在DAC寄存器中的数据决定转换器的模拟输出。

由D/A转换器TLC7226和示波器组成,采用一片TLC7226产生三路模拟信号,OUT C提供基准电压,使逻辑信号门限电压在0~5V范围内按32级变化;OUT B提供线性锯齿波作为示波器X方向输入,用这个线性增长的电压作为扫描电压控制电子束移动,以产生示波器上光点的水平移动;OUT A提供Y轴偏置电平以区分各频段显示位置,一共需要9个电平以区分9个频段的输出显示。此9个偏置电平和T0输出的信号相迭加,实现T0信号在示波器上的的分频段显示。

(2)、产生和使用门限

单片机通过对D/A设置不同输出电压,得到门限电平从D/A转换器输出到LM339反相输入端。

(3)、产生Y轴偏置信号

针对分通道显示时,我们注意到并不是简单的叠加偏置电平就可以的,因为叠加到偏置电平上的信号电压本身可能就很高,超过相邻偏置电平的变化值,这样就会发生相邻频道信号甚至多频道信号波形的叠加,这样我们的分频道实现多路显示也就已经没有了任何意义。考虑到这种情况,我们就需要对信号叠加偏置电压前进行限幅处理,以使各路频道信号均匀的分布于示波器的屏幕上得以清晰显示。并且要保证各信号之间要有一定的空白间隔,以区分显示9个频段。针对此方案,我们设计了如下电路图2.2所示作为示波器的输入电路来实现在示波器上清晰显示,此电路不仅解决了上面我们注意到的存在问题即输入电平的幅度问题,同时简单的实现了时标的扫描显示。这是一个同相求和的运算电路,运放接成电压跟随器形式:

Vdot、Vdat、Vbase分别通过R1、R2、R3接运算放大器的同相输入端V2,并通过电阻R4接地,运算放大器的输出端接入反相输入端,接成电压跟随器。

由电压跟随器的特点可列写:

Vo=V1 ,V1=V2

V2/R4 + (V2-Vdot)/R1+(V2-Vdat)/R2+(V2-Vbase)/R3=0 所以 V0=K1*Vdot + K2*Vdat + K3*Vbas

其中: K1=K/R1 ; K2=K/R2;K3=K/R3;

1/K=1/R1+1/ R2+1/ R3+1/R4 ;

Vbas是在0~5v范围内变化的模拟电压

则在V0处的变化范围是0~2.68V即△V0=2.68;

要在示波器上实现9频道的输出,则每一频道所占的变化电压是:

△V=△V0 / 9=0.29;

要使各频段的波形由空白间隔的显示,则让显示波形占频道的4/5,而留下1/5的空白区分各频道的波形使之不会发生相邻波形的重影现象,这样由于加法器的输入端接法,使得本来应该是0~5V的大幅度变化变为0~2.68V小幅度输出,再被9个频段平分,

这时可计算得到每频道内显示的波形电压幅值为:

△V2=△V* 4 / 5=0.232V;

使Vdat的值从低电压0V变到5V时,调节R2合适数值,使△V2恰好为0.232V;

若要显示光标,则需在空白的中间显示,这样叠加在△V2上显示时标亮点的

△V1=(△V/5)/ 2=0.029V;

此时使Vdot的值从低电压0V变到高电压5V时,调节R1数值使△V1恰好为0.029V;

实际电路调节合适后可以得到电路的各参数:

R1=2M欧,R2= 470K欧,R3= 36K欧,R4= 47K欧

Vdot=0时,为正常的扫描模式:

Vdot=1时,为打点扫描模式:

在屏幕上显示的效果为:

第一行为触发点显示行,在没有触发点的时候触发点显示行显示一条低电平的亮线,有触发点时则在触发字处显示持续一个周期的高电平,然后降下来显示低电平亮线,所以出现1BIT的高电平时,则表明有触发点且触发点就在这一位上,并且此触发点的位置是可以人工调节的。

第二行~第九行依次显示采集到的Q0~Q7信号逻辑状态。

时标的扫描是通过Vdot和输入显示的Vdat相叠加,因而会在显示的数据电平上叠加一个△V1,连续扫描4个点;

若原来的Vdat是高电平,则接着将原来光标的显示电平减去△V2,这样光标相当于叠加在此频道的输入低电平上,反之,若原来的Vdat是低电平,则在原来光标的显示电平加上△V2,这样光标相当于叠加在此频道的输入高电平上,再连续扫描4点后,这样就在这一频道上出现两条有高度差的小光标,由于一个持续电平时间时间较短,两段光标有倾斜度,仔细观察是会发现每个频道的时标段都有一定的小弧度,但对显示效果的影响不明显,可以忽略。

同样的方法扫描完一屏后,每个频段都在此位上有两段亮点,竖向看起来就是一条接近竖直线的亮线显示于屏幕上,这就是我们想要显示的时标。时标可以左右移动,也可以实现显示消隐。不显示时标时,使Vdot=0即可。

四、简易逻辑分析仪的软件流程:

简易逻辑分析仪的开机状态:阈值为2.5V,开机初始为未触发状态,为示波器工作模式,LCD 显示第0页信息,时间标志线在屏幕最左端。

数据采集流程:

INT0中断

INT1中断

数据显示流程:

五、实时波形存储与上下翻页

在没有触发字的状态下,简易逻辑分析仪做示波器使用,将采集到的信号即时发送到MCU2上实现对信号的实时显示,如果想保存波形可以通过STORE功能键完成,将显示器上的波形信息保存下来,恒定显示在示波器上,也可以以后回放观看。实时波形存储,既方便有快捷,.我们称之为实时波形存储.

通过STORE键操作,我们可以随时存储实时的波形.在正常的数据传递、波形显示的情况下,按下STORE保存下当前的波形,以备以后回放之用。此时,正常的数据传递被打断,简易逻辑分析仪将波形恒定的显示在示波器上,直到通过按键操作被释放为止。

由于我们的简易逻辑分析仪采用双页式存储结构,可以存储两显示屏的数据,所以我们通过UP/DOWN 键来翻看波形情况。在实时波形存储情况下,可以存储两显示屏的波形信息。查看这些波形信息,我们只需要通过UP/DOWN键就可以上下翻看两屏波形信息。我们称此为实时波形存储与上下翻页。

实时波形存储与上下翻页功能的开发是基于示波器的显示存储思想而来的。通过软件编程,我们可以方便的分析数字逻辑信号的逻辑功能,直观的显示出来,还可以方便地存储我们想要的波形信息并回放。这是我们这个简易逻辑分析仪的特色功能之一。

第四部分、测试及结果分析:

一、信号发生器测试

我们将数字信号发生器的组成部分封装到盒子中,外接出控制按键和用户依靠液晶显示的提示进行操作,实现人机交换操作,需要监测的引脚和输出引脚通过插孔引出,外接检测和提供输出时,只需用插线插进插孔和外部电路连接即可,这是一种可灵活改变的跳线结构,简单易操作。

1、测试仪器:

数字存储示波器TDS210

TDS210技术参数: 60MHz带宽,lGS/s采样率,双通道数字示波器,2.5K记录长度,3%垂直精度,2mV垂直灵敏度,可选三种扩充模块,高亮液晶显示。

2、测试步骤:

(1)、观察上电起始显示情况。用插线连接好电源,接好地,把CLK输出和P0各口的用插线接到示波器TDS210上,液晶屏幕上将显示欢迎信息和当前P0口循环输出的默认序列0000 0101,8位序列结束处有闪烁标志,仅接其后显示的是输出CLK信号的频率100HZ。

用示波器TDS210监视P0口的输出波形Q0~Q7,观察它的输出规律是0000 0101序列在循环移位显示;同时可从屏幕上可观察P0口波形的电压值(高电平和低电平的峰峰值):

用示波器TDS210监视CLK输出口波形;同时可从屏幕上读出CLK信号的频率:(2)、预置8位循环信号序列,并检测输出信号电平。

按下SET键1号,进入设置状态后,闪烁标志停,等待2号和3号键的输入进行0/1设置,按动输入8位后,输入中若输错了值,则按动4号撤销键,光标前移。按ENTER后,则设置结束,新设的序列在液晶上显示出来,用示波器检测P0口输出脉冲循环情况确为新设脉冲的重复循环移位显示。

用TDS210检测输出P0信号的峰峰值电平差,并记录下来。

(3)、测试序列的时钟频率

用示波器TDS210监视CLK输出口波形;同时可从屏幕上读出CLK信号的频率稳定显示,且输出波形稳定清晰。

拔出电源插线,结束检测,整理测试结果,分析实验过程。

3、测试结果:

基准电压为0v,测量数据如下:

波形显示:

4、结果分析:

●由测试频率表可看出所测结果符合要求:CLK的频率基本为100HZ,与液晶显示0.1K的频率值相符合。

●由所测电平的峰峰值记录可知,输出电平变化范围大,接近5V,输出信号必定满足TTL电平要求。

●由步骤2的操作过程可知,可以实现8路逻辑信号的预置入功能,并且顺利的实现了置入逻辑信号序列的重复循环移位输出,所画波形图即为循环显示的默认逻辑序列情况。

★总之,数字信号发生器已经实现了所有的基本要求,并且加了一片液晶实时显示P0口的循环序列,一边置数另一边会在液晶上显示出输入的数字,为使用用户提供了友好的界面提示,且允许用户回退光标。由于时间限制,频率选择键的选择功能还未扩展写入。此数字信号发生器经测试已验证其精度相对较高,其效果较为理想。

二、简易逻辑分析仪的测试过程和结果分析

简易逻辑分析仪电路系统被封装起来,外接可插拔的电路接口

1、测试仪器:

万用电表、模拟示波器

2、测试步骤:

(1)、首先接上电源观察简易逻辑分析仪的开机状态:

第一行没有高电平触发标志,处于未触发状态;时间标志线可以清晰的看到显示于屏幕的最左端。

(2)、按下设置键进入设置状态,再按动一下进入单极触发字设置状态,依次按0/1键进行单极触发初始字的设置,同时对信号发生器也设置一个和出发字相同的脉冲序列,然后在示波器屏幕上就会看到我们所设信号发生器的信号在示波器上清晰稳定的显示,并且在Q0—Q7即第二通道向下到最后一通道的所显示的状态字与单级触发字恰好相同处的一条垂直方向处有高电平升起,这便是触发处。

这证明单极触发字被顺利写入,且在各路被测信号电平与触发字所设定的逻辑状态相同时,即在满足触发条件下进行了一次采集、存储。

在步骤1和步骤2中,我们在模拟示波器上看到了清晰稳定的波形显示,并且在步骤2中看到了触发高电平,证明其可以清晰的显示出发点位置。

我们观察屏幕上的波形,数一下,会发现恰好是我们的存储深度24bit.

1、其电压,测得V2.

3、测试结果:

测试点V1 V2

第1次4.9 2.4

第2次4.8 2.6

第3次4.8 2.5

4、结果分析:

从上面可以看出三次的测量关系:v1接近于v2的两倍。

故而,输入电阻就和所接如电阻数值相当。即大约为100k

即得到结论8位输入电阻的阻抗大于50千欧。

第五部分:操作说明

一、数字信号发生器操作方法:

控制部分由六个按键,6按键组成独立式键盘接P2口,1--6号键依次接P2.0--P2.5

1号:SET键按下1号则信号发生器进入设置状态,按下1号后其它键按下才会有效,否则,信号发生器不理睬2,3,4,5,6号键的按下。

2号:频率设置增加键3号:频率设置减少键

2,3号为频率调节键,信号发生器默认的输出频率为100HZ,有可以选择的200HZ,500HZ,1KHZ,按动2号一次,频率会在选择项中正向移动一次,一直移到1KHZ为止;按动3号一下,频率会在选择项中负向移动一次,一直移到100HZ为止。实现了频率可调,但频率调节范围限制在100,200,500,1KH之间。(待扩展)4号:0信号输入键 5号:1信号输入键

4,5号为循环移位逻辑信号序列预制输入键输入预置序列时,依次按4或5号键置入0、1序列,实现预置可变序列。

6号:ENTER键按下6号则结束设置状态。

二、逻辑分析仪操作方法:

控制接口部分由8个按键组成:

1号:SET键 2号:0/1键 3号:UP/DOWN 键 4号:读出键

5号:存储键 6号:LEFT键 7号:RIGHT键 8号:CR 确定键

按键功能说明:

1、设置键共有3种设置选择方式:

单极触发方式字设置A、多级触发方式字设置B、阈值设置C

设置键按下一次,进入设置状态;按下第二次时,则进入A方式;按下第三次时,则进入B状态;按下第四次时,进入C方式。

2、0/1键:

设置状态下,实现预置序列的设置。按2号一次则输入0,再按一次则输入1。依次按动则0、1交叉输入,设置序列时,若需修改可按一下6号键,则显示光标向前移动一位,按7号键,光标向后移动。

非设置状态下,实现分页显示。按2号键一次,则显示第1页,第一页的存储深度为25--48BIT,默认状态下显示第0页,存储深度为24BIT。

3、UP/DOWN键:

设置状态下实现阈值设置,此键按动一次,则使阈值增或者减5V/32=0.15625V

4、读出键:

按下此键则在示波器上显示存储的波形

5、存储键:

存储当前示波器上显示的波形数据

6、7后退和前进键:

设置状态下,实现触发位置可调。按6键一次,则显示触发点前的第一个状态字,按6键两次,则显示触发点的第2个状态……同理,按7一次,则显示触发点后的第1个状态……我们设计显示出发点前后不超过5个状态。

设置状态下的读出键按下后,再按动6、7可实现时间标志线可移动。

8、CR确定键:按动此键,完成输入状态,退出设置状态

第六部分附录

简易逻辑分析仪的数字信号采集、处理、串行发送的部分程序.

// LOGICAL ANALIST

// main.c @12MHz

#include <89C51C.H>

#include

#include

#define lint unsigned long

#define uint unsigned int

#define uchar unsigned char

idata struct series

{ uchar Series[48]; //signal series 24 bits&2 pages

uchar Time_flag_line; //0--23

uchar Trigger_Position; //single trigger position -3---19

uchar Gate_V oltage;//2.5V default

} Series;

uchar temp1[3];

uchar Series_Number=0;//signal series

uchar DispArray[8],DispArrayTemp[8]; //disp series array

uchar Trigger_Word=0x03,Trigger_Word_Temp; //single trigger word temp

uchar Trigger_Level=0,Trigger_Level_Temp; //trigger level (0)NoTrigger

int Trigger_Position_Temp=0,j=0;

uchar key; //keyV alue

uchar i,temp;

uchar idata PageFull; //Page being displayed. (_NO)

bit enter=0;

bit sent=0; //send done

bit send_ok=0; //send_ok

bit flag0=0,flag1=0,flag2=0,flag3=0,flag4=0,flag5=0;

bit start_flag=0; //single trigger is triggerred.

uchar setmode=0; //setmode:0 no_set; 1:Trigger_Level=1(set Trigger_Word);

//2:Multiple_Level_Set; 3:Set Gate_V oltage

sbit WDI=P3^7;

extern uchar readkey();

// NOKEY FF;set 00; 0/1 01;updown 02;read 03;store 04;left 05;right 06;ENTER 07. //extern void delay250us(void);

//extern void delay500us(void);

//extern void delay1ms(void);

//extern void delay5ms(void);

//extern void delay10ms(void);

extern void delay50ms(void);

//extern void delay20ms(void);

extern void Disp_Init();

extern void Disp_LocateCursor(const uchar );

extern void Disp_HideCursor(void);

extern void Disp_ClearInfoLine(void);

extern void Disp_ClearFuncLine(void);

extern void Disp_InfoLinePos(uchar, const char *); extern void Disp_InfoLine(const char *);

extern void Disp_FuncLine(const char * );

extern void Disp_InfoLineSlideFromRight(const char *); extern void Disp_FuncPos(uchar, const char *);

extern void Disp_Notice(const char *);

extern void Transfer(void);

//Disp Function

void sys_init(void);

void intt0P(void);

void SeriesDisp(char); //Series to DispArray;

void TriggerWordTempDisp(void);

void TriggerWordDisp(void);

void start_hello(void);

void DispTrigger_Position_Temp(void);

//Trans Function

void DispArraytoTriggerWord(void);

void DispArraytoSeries(void); //DispArray to Series; void DispPosition(char);

void GateV oltageDisp(char);

void Read_data(void);

extern void Send_Data(void);

void StoreWaves(void);

void frequency_count(void);

void exit(void);

void delay1s(void);

//LOGICAL ANALIST

void main (void)

{

/*INITIALIZE 89C52 */

sys_init();

//lcd welcome information

start_hello();

delay1s();

delay1s();

delay50ms();delay50ms();WDI=0;WDI=1;

for(i=0;i<8;i++) DispArrayTemp[i]=DispArray[i];

Trigger_Word_Temp=Trigger_Word;

//Disp_InfoLineSlideFromRight("SET:TRG CUR TIM");

//Disp_FuncLine(" SET <-> SET");

while(1){

if(j==30000)

{j=0;

Disp_InfoLineSlideFromRight("SET: TRG CUR TIM");

Disp_FuncLine("KEY: SET <-> SET");

}

j++;

flag1=0;

//key operation

key=readkey();

switch(key)

{case 0x00:

while(flag1==0)

{ setmode=1; //set

Disp_InfoLine("TRL TRW TRP GVO");

Disp_FuncLine(" 0 1 RD ST");

flag0=0;

key=readkey();

switch(key) //0/1/read

{//trigger level

case 0x01: while(flag0==0)

{Disp_InfoLine(" TRIGGER LEVEL ");

Disp_FuncLine(" <-- 0L || -->1L");

key=readkey();

if(key==0x05) Trigger_Level_Temp=0;

if(key==0x06) Trigger_Level_Temp=1;

if(key==0x07) flag0=1;

}

if(Trigger_Level_Temp==0) Disp_FuncLine(" ZERO LEVEL ");

if(Trigger_Level_Temp==1) Disp_FuncLine(" ONE LEVEL ");

delay1s();

break;

//Trigger_position

频谱分析仪的设计方案及实际应用案例汇总

频谱分析仪的设计方案及实际应用案例汇总 频谱分析仪是研究电信号频谱结构的仪器,用于信号失真度、调制度、谱纯度、频率稳定度和交调失真等信号参数的测量,可用以测量放大器和滤波器等电路系统的某些参数,是一种多用途的电子测量仪器。现代频谱分析仪能以模拟方式或数字方式显示分析结果,能分析1 赫以下的甚低频到亚毫米波段的全部无线电频段的电信号。仪器内部若采用数字电路和微处理器,具有存储和运算功能;配置标准接口,就容易构成自动测试系统。 基于MSP430 的FM 音频频谱分析仪的设计方案 本文中主要提出了以MSP43 处理器为核心的音频频谱分析仪的设计方案。以数字信号处理的相关理论知识为指导,利用MSP430 处理器的优势来进行音频频谱的设计与改进,并最终实现了在TFT 液晶HD66772 上面显示。 基于NIOS II 的频谱分析仪的设计与研制 本设计完全利用FPGA 实现FFT,在FPGA 上实现整个系统构建。其中CPU 选用Altera 公司的Nios II 软核处理器进行开发, 硬件平台关键模块使用Altera 公司的EDA 软件QuartusIIV8.0 完成设计。整个系统利用Nios II 软核处理器通过Avalon 总线进行系统的控制。 基于频谱分析仪二代身份证读卡器测量 本文所介绍使用频谱仪检测RFID 读卡器的应用实例也是一种通用检测 方案,可广泛应用在RFID 读卡器和主动式电子标签研发过程中的调试、产线 的检验等多个方面。 基于频谱分析仪分析手机无线测试 本文将对手机无线通信中遇到的问题提出相应的解决方案。手机在进行通信时存在着频段控制、通信质量检测和信号大小控制等问题。被射频工程师

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

简易逻辑分析仪报告

简易逻辑分析仪 摘要 本系统是由单片机作为主控制器、可编程器件作为辅助控制单元来实现数字信号产生、逻辑信号采集和示波器显示。 由单片机为核心的信号发生器,实现了大范围可控频率、预设码型的信号输出;数据采集模块的输入电路中的程控迟滞比较器,提高了输入信道的抗干扰能力。可编程器件高密度特点在本系统中的应用,大大减少了外围器件,增强了系统的可靠性。带有LCD显示模块为用户控制提供友好的人机界面,实现了设置掉电保护功能,并支持鼠标操作和图形打印。 关键词逻辑分析仪单片机可编程器件程控迟滞比较器一、方案论证及选择

方案一: 利用普通的74系列移位计数器构成数字信号发生器,纯单片机方式实现逻辑分析仪。 图 1-1 方案一结构框图 如图1-1所示,数字信号发生器部分,利用74系列的移位计数器的基本功能,通过拨码开关向置数端预置循环序列,通过TTL 驱动输出数字信号。逻辑分析仪部分的门限电压由电位器控制。这种方法单片机除了完成基本的数据分析外,还需要完成对逻辑数据的采集、存储、显示等大量控制工作。 方案二: 由单片机产生数字信号序列,由另外两片单片机构成逻辑分析仪。 射随器 门限 比较器 电位器 调压电路 单 片 机 Z Y X D/A D/A 预 置 拨码开关 序列 输出 数字信号发生器 简易逻辑分析 100Hz 时钟 键盘 级联74 移位计数器 数码管

图 1-2 方案二结构框图 如图1-2所示,相比方案一在信号产生上方案二采用了单片机方案,数码管显示循环序列码状态,本方案用软件可以实现不同频率、更加复杂数字信号的输出。在逻辑分析仪部分,部分的特点是双单片机结构,二者通过串口通信,下位机单片机3只负责显示,上位机单片机2通过D/A 输出程控的门限电平。本方案解决了显示与数据采集处理不能同时工作的矛盾, 方案三 利用FPGA/CPLD 的高速特点,实现系统并行工作,这是本方案相比于方案二的特色之一。用可编程器件可以高速完成单一功能模块。FPGA/CPLD 的使用弥补了单片机在高速采集和实时显示的弱点,使整个系统的处理能力远超过当前微控制器的水平,这使设计十分具有发挥的空间。而且通过合理地划分软硬件的工作量,将使软件控制和软件编写变得容易。 单 片 机 2 单 片 机 3 单 片 机 1 输出级TTL 驱动 射随器 D/A 门限 比较器 串口 通信 Z Y X D/A D/A 数码管 键盘 数字信号发生简易逻辑分析 键盘 数码管

简易频谱分析仪课程设计

东北石油大学课程设计 2014年7月18 日

东北石油大学课程设计任务书 课程通信电子线路课程设计 题目简易频谱分析仪 专业姓名学号 主要内容、基本要求、主要参考资料等 主要内容: 设计一个测量频率范围覆盖为10MHz-30MHz,可根据用户需要设定显示频谱的中心频率和带宽,还可以识别调幅,调频和等幅波信号的简易频谱分析仪。基本要求: (1)频率测量范围为10MHz--30MHz; (2)频率分辨力为10kHz,输入信号电压有效值为20mV±5mV,输入阻抗为50Ω; (3)可设置中心频率和扫频宽度; (4)借助示波器显示被测信号的频谱图,并在示波器上标出间隔为1MHz 的频标。 主要参考资料: [1]谢家奎.电子线路(非线性部分)[M].北京:高等教育出版社. [2] 张建华.数字电子技术[M].北京:机械工业出版社. [3] 陈汝全.电子技术常用器件应用手册[M].北京:机械工业出版社. 完成期限2014.7.14 — 2014.7.18 指导教师 专业负责人 2014年7 月14 日

摘要 系统利用SPCE061A单片机作为主控制器,采用外差原理设计并实现频谱分析仪:利用DDS芯片生成10KHz步进的本机振荡器,AD835做集成混频器,通过开关电容滤波器取出各个频点(相隔10KHz)的值,再配合放大,检波电路收集采样值,经凌阳单片机SPCE061A的处理,最后送示波器显示频谱。测量频率范围覆盖10MHz-30MHz,可根据用户需要设定显示频谱的中心频率和带宽,还可以识别调幅,调频和等幅波信号。 关键词:SPCE061A;DDS;频谱分析仪

基于51单片机的简易逻辑分析仪设计

本科生毕业设计报告学院物理与电子工程学院专业电子信息工程 设计题目:基于51单片机的 简易逻辑分析仪设计 学生姓名 指导教师 (姓名及职称) 班级 学号 完成日期:年月

基于51单片机的简易逻辑分析仪设计 物理与电子工程学院电子信息工程 [摘要]本设计完成了一种能进行数字电路中多路数据测试的简易逻辑分析仪。它以51单片机控制核心,数模转换器为逻辑信号门限电平控制电路,用按键和 12864LCD作为人机交互界面,采用C51进行模块化编程,实现了四路信号的测试,具有成本低,使用方便等特点。 [关键词]数字电路单片机数模转换器逻辑分析仪 1 设计任务与要求 本设计的主要任务及参数指标是:数据位数4位,存储深度80字;数据速率最高1kHz;输入阻抗大于50kΩ;逻辑信号门限电平在1.0V~4.0V 范围内按8级任意设定。 2 设计方案 本系统采用51单片机为控制核心,系统由单片机系统、逻辑电平控制、按键、LCD显示、系统电源等模块构成。被测数据输入到逻辑电平控制模块,然后进行单片机进行测试,按键用于控制逻辑信号门限电平的大小,系统电源为各模块供电,各模块的供电电压为5V。

图1 系统框图 3 设计原理分析 3.1 单片机系统电路设计 图2 单片机系统电路 单片机系统为逻辑分析仪的核心,负责控制逻辑分析仪的逻辑电平、检测按键并驱动LCD 进行显示。单片机系统电路如图2所示,由晶体振荡器Y1、电容C3和C4构成振荡器电路,为单片机提供时钟信号。电容C1、电阻R2和R1、按键KEY1构成单片机复位电路,高电平复位,当按键KEY1按下的时间超过2个机器周期以上时,单片机就执行复位操作。EA 接高电平,单片机首先访问内部程序存储器。J1为1KΩ的排阻,作为P0口的外部上拉电阻。在硬件制作时为了方便单片机的测试和功能的扩展,把所有的I/O 口均通过排针引出。 EA/VP 31X119X218RESET 9 RD 17WR 16 INT012INT113T014T115P101P112P123P134P145P156P167P178P0039P0138P0237P0336P0435P0534P0633P0732P2021P2122P2223P2324P2425P2526P2627P27 28 PSEN 29 ALE/P 30TXD 11RXD 10U18051 P10 P11P12P13P14P15P16P17P00P01P02P03P04P05P06P07P20P21P22P23P24P25P26P27 123456789J1 1K +5 Y112M +5 RXD TXD RD WR T0T1INT0INT1C3 22p F C4 22p F R28.2K C110u F +5 12J6CON2 KEY1SW2 R1100 . .

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

译码器实验报告

译码器实验报告 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所

代表的函数对应于n个输入变量的最小项。 三、实验设备与器件 1.+5V直流电源 2.单次脉冲源 3.逻辑电平开关 4.74LS138 四、实验内容及步骤 1.74LS138译码器逻辑功能测试 将译码器使能端STA、STB、STC与地址端A2、A1、A0分别接到逻辑电平开关输入口,八个输出端Y7…Y0依次连接在十六位逻辑电平显示上,拨动逻辑电平开关,逐项测试74LS138的逻辑功能。2.实验箱电源连接正确,电路自查确定无误后,电路验证还是不正确的情况下进行下面的排错检查:

1)检查芯片的电源和地的电平是否正确。 2)芯片的使能端连接的电平正确。 3)从逻辑电平开关输入信号是否正确。 4)从输出端按逻辑功能状态往前一步一步排查。 3.两片3线-8线译码器74LS138扩展为4线-16线译码器 用两片74LS138组合成一个四线-十六线译码器进行实验,并分析逻辑功能。

基于单片机的简易逻辑分析仪毕业设计论文

基于单片机的简易逻辑分析仪 目录 第1节引言 (3) 1.1系统概述 (3) 1.1.1系统的特点 (4) 1.1.2系统的功能 (4) 第2节系统主要硬件电路设计 (5) 2.1 系统结构框图 (5) 2.2 主体控制模块 (5) 2.3 系统硬件的主体实现 (7) 2.3.1 数字信号发生器模块的电路设计与实现 (7) 2.3.2 主控系统模块的电路设计与实现 (8) 2.3.3 LED显示模块的电路设计与实现 (10) 2.3.4 硬件的抗干扰措施 (12) 第3节系统软件设计 (13) 3.1 系统软件流程 (13) 3.2 中断服务子程序 (15) 3.3 AT24C04程序设计 (15) 第4节结束语 (19) 参考文献 (20) 基于单片机的简易逻辑分析仪

第1节引言 信息时代是数字化的时代,数字技术的高速发展,出现了以高性能计算机为核心的数字通信、数字测量的数字系统。在研究这些数字系统产品的应用性能的同时也必须研究在设计、生产和维修他们的过程中,如何验证数字电路设计的合理性、如何协调硬件及其驱动应用软件的工作、如何测量其技术指标以及如何评价其性能。逻辑分析仪的出现,为解决这些问题提供了可能。 随着数字系统复杂程序的增加,尤其是微处理器的高速发展,用示波器测试己显得有些无能为力。1973年在美国应运而生的逻辑分析仪(Logic Analyzer),能满足数字域测试的各种要求。它属于总线分析仪一类的数据域测试仪器*主要用于查找总线(或多线)相关故障.同时对于数据有很强的选择能力和跟踪能力,因此,逻辑分析汉在数字系统的测试中获得了广泛的应用。 逻辑分析仪(Logic Analyzer)是以逻辑信号为分析对象的测量仪器。是一种数据域仪器,其作用相当于时域测量中的示波器。正如在模拟电路错误分析中需要示波器一样,在数字电路故障分析中也需要一种仪器,它适应了数字化技术的要求,是数字、逻辑电路、仪器、设备的设计、分析及故障诊断工作中不可按少的工具。在测试数字电路、研制和维修电子计算机、微处理器以及各种集成化数字仪表和装置中具有广泛的用途;还是数字系统设计、侦错、软件开发和仿真的必备仪器;作为硬件设计中必不可少的检测工具,还可将其引入实验教学中,建立直观感性的印象,提升学生的硬件设计能力,可以全面提高教学质量;随着科技的发展,LA在多通道、大存储量、高采样速率、多触发功能方面得到更快的发展,在航天、军事、通信等数字系统领域得到越来越广泛的应用。 我们从上面可以看出逻辑分析仪在各个领域的广泛应用。那么我们在学习、应用的同时设计并制作一个简易的逻辑分析仪就显的意义重大了,这样这个过程既可以让我们更加深入理解其原理,又可以提高动手设计并制作整个系统电路的能力,还可以将其作为简易仪器应用于以后的实验中。 1.1系统概述 因在本节中,我们将对简易逻辑分析仪的应用进行分析。给出它的特点,能实现的功能以及系统的简单操作 1.1.1 系统的特点 逻辑分析仪也称逻辑示波器,它是用来分析数字系统逻辑关系的一种仪器。逻辑分析仪的主要作用有二个:一是用于观察的形式显示出数字系统的运行情况,相当于扩展了人们的视野,起一个逻辑显示器的作用;二是对系统运行进行分析和故障诊断。

简易频谱分析仪

简易频谱分析仪[ 2005年电子大赛二等奖] 摘要:本设计以凌阳16位单片机SPCE061A为核心控制器件,配合Xilinx Virtex-II FPGA及Xilinx公司提供的硬件DSP高级设计工具System Generator,制作完成本数字式外差频谱分析仪。前端利用高性能A/D对被测信号进行采集,利用FPGA高速、并行的处理特点,在FPGA内部完成数字混频,数字滤波等DSP 算法。 SPCE061A单片机是整个设计的核心控制器件,根据从键盘接受的数据控制整个系统的工作流程,包括控制FPGA工作以及控制双路D/A在模拟示波器屏幕上描绘频谱图。人机接口使用128×64液晶和4×4键盘。本系统运行稳定,功能齐全,人机界面友好。 关键字:SPCE061A 简易频谱分析仪 一、方案论证 频谱分析仪是在频域上观察电信号特征,并在显示仪器上显示当前信号频谱图的仪器。从实现方式上可分为模拟式与数字式两类方案,下面对两种方案进行比较: 方案一:模拟式频谱分析仪 模拟方式的频谱仪以模拟滤波器为基础,通常有并行滤波法、顺序滤波法,可调滤波法、扫描外差法等实现方法,现在广泛应用的模拟频谱分析仪设计方案多为扫描外差法,此方案原理框图如图1.1:

图 1.1 模拟外差式频谱仪原理框图 图中的扫频振荡器是仪器内部的振荡源,当扫频振荡器的频率在一定范围内扫动时,输入信号中的各个频率分量在混频器中产生差频信号 (),依次落入窄带滤波器的通带内(这个通带是固定的),获得中频增益,经检波后加到Y放大器,使亮点在屏幕上的垂直偏移正比于该频率分量的幅值。由于扫描电压在调制振荡器的同时,又驱动X放大器,从而可以在屏幕上显示出被测信号的线状频谱图。这是目前常用模拟外差式频谱仪的基本原理。模拟外差式频谱仪具有高带宽和高频率分辨率等优点,但是模拟器件调试复杂,短期实现有难度,尤其是在对频谱信息的存储和分析上,逊色于新兴的数字化频谱仪方案。 方案二:数字式频谱分析仪 数字式频谱仪通常使用高速A/D采集当前信号,然后送入处理器处理,最后将得到的各频率分量幅度值数据送入显示器显示,其组成框图如图1.2: 图 1.2 数字式频谱仪组成框图

基于51单片机的简易逻辑分析仪设计说明书

word文档整理分享 本科生毕业设计报告学院物理与电子工程学院专业电子信息工程 设计题目:基于51单片机的 简易逻辑分析仪设计 学生姓名 指导教师 (姓名及职称) 班级 学号 完成日期:年月

基于51单片机的简易逻辑分析仪设计 物理与电子工程学院电子信息工程 [摘要]本设计完成了一种能进行数字电路中多路数据测试的简易逻辑分析仪。它以51单片机控制核心,数模转换器为逻辑信号门限电平控制电路,用按键和 12864LCD作为人机交互界面,采用C51进行模块化编程,实现了四路信号的测试,具有成本低,使用方便等特点。 [关键词]数字电路单片机数模转换器逻辑分析仪 1 设计任务与要求 本设计的主要任务及参数指标是:数据位数4位,存储深度80字;数据速率最高1kHz;输入阻抗大于50kΩ;逻辑信号门限电平在1.0V~4.0V 范围内按8级任意设定。 2 设计方案 本系统采用51单片机为控制核心,系统由单片机系统、逻辑电平控制、按键、LCD显示、系统电源等模块构成。被测数据输入到逻辑电平控制模块,然后进行单片机进行测试,按键用于控制逻辑信号门限电平的大小,系统电源为各模块供电,各模块的供电电压为5V。

图1 系统框图 3 设计原理分析 3.1 单片机系统电路设计 图2 单片机系统电路 单片机系统为逻辑分析仪的核心,负责控制逻辑分析仪的逻辑电平、检测按键并驱动LCD 进行显示。单片机系统电路如图2所示,由晶体振荡器Y1、电容C3和C4构成振荡器电路,为单片机提供时钟信号。电容C1、电阻R2和R1、按键KEY1构成单片机复位电路,高电平复位,当按键KEY1按下的时间超过2个机器周期以上时,单片机就执行复位操作。EA 接高电平,单片机首先访问内部程序存储器。J1为1KΩ的排阻,作为P0口的外部上拉电阻。在硬件制作时为了方便单片机的测试和功能的扩展,把所有的I/O 口均通过排针引出。 EA/VP 31X119X218RESET 9 RD 17WR 16 INT012INT113T014T115P101P112P123P134P145P156P167P178P0039P0138P0237P0336P0435P0534P0633P0732P2021P2122P2223P2324P2425P2526P2627P27 28 PSEN 29 ALE/P 30TXD 11RXD 10U18051 P10 P11P12P13P14P15P16P17P00P01P02P03P04P05P06P07P20P21P22P23P24P25P26P27 123456789J1 1K +5 Y112M +5 RXD TXD RD WR T0T1INT0INT1C3 22p F C4 22p F R28.2K C110u F +5 12J6CON2 KEY1SW2 R1100 . .

逻辑门电路实验报告(精)

HUBEI NORMAL UNIVERSITY 电工电子实验报告 电路设计与仿真—Multisim 课程名称 逻辑门电路 实验名称 2009112030406 陈子明 学号姓名 电子信息工程 专业名称 物理与电子科学学院 所在院系 分数

实验逻辑门电路 一、实验目的 1、学习分析基本的逻辑门电路的工作原理; 2、学习各种常用时序电路的功能; 3、了解一些常用的集成芯片; 4、学会用仿真来验证各种数字电路的功能和设计自己的电路。 二、实验环境 Multisim 8 三、实验内容 1、与门电路 按图连接好电路,将开关分别掷向高低电平,组合出(0,0)(1,0)(0,1)(1,1)状态,通过电压表的示数,看到与门的输出状况,验证表中与门的功能: 结果:(0,0)

(0,1) (1,0) (1,1) 2、半加器 (1)输入/输出的真值表

输入输出 A B S(本位和(进位 数)0000 0110 1010 1101 半加器测试电路: 逻辑表达式:S= B+A=A B;=AB。 3、全加器 (1)输入输出的真值表 输入输出

A B (低位进 位S(本位 和) (进位 数) 0 0 0 0 0 00110 01010 01101 10010 10101 11001 11111(2)逻辑表达式:S=i-1;C i=AB+C i-1(A B) (3)全加器测试电路:

4、比较器 (1)真值表 A B Y1(A>B Y2(A Y3(A=B 0 0 0 0 1 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 (2)逻辑表达式: Y1=A;Y2=B;Y3=A B。 (3)搭接电路图,如图: 1位二进制数比较器测试电路与结果:

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

简易逻辑分析仪

安阳工学院电子信息与电气工程学院 《EDA技术》课程大作业 题目:简易逻辑分析仪 班级: 2011级电子信息工程一班 评分标准: 1、题目难易度。 10% 2、设计和结论正确,分析清晰合理。 40% 3、大作业报告阐述清晰,格式规范。 20% 4、陈述清晰,回答问题正确。 30% 大作业成绩 总成绩=T+J*40%+(J+J*(X-1/N))*30% T为回答问题成绩,J为教师成绩,X为学生自评分值,N为小组人数

简易逻辑分析仪 1. 设计任务 逻辑分析仪可以将数字系统中的脉冲信号、逻辑控制信号、总线数据甚至毛刺脉冲都能同步高速的采集进该仪中的高速RAM中暂存,以备显示和分析。我们所设计的简易逻辑分析仪是一个8通道的逻辑数据采集电路,它可以将输入到RAM中的计数结果通过输出线完整地按地址输出出来,其中CLK(时钟信号),CLK_EN(时钟使能信号),CLR(清零端),WREN(写入允许控制)和DIN(7..0)(写入允许控制),OUTPUT(八位数据输出)。预期可以将输入到简易逻辑分析仪中的数据可以完整的读取出来。 2.设计方案 我们所设计的简易逻辑分析仪主要有三个功能模块构成:一个8位LMP_RAM0,存储1024个字节,有十根地址线;一个十位计数器LMP_COUNTER和一个锁存器74244。设计思路框图如下图一: 图一设计思路框图 3. 方案实施 3.1、LPM计数器模块的设计 首先打开一个原理图编辑窗,存盘取名为 eda1,然后建成工程,在进入本工程的原理图,单击Mega Wizard Plug-In Manger 管理器按钮,然后进入如图二所示的窗口,选择LPM-COUNTER模块,再选择CycloneⅢ和VHDL;文件名为CONT10B。

简易逻辑分析仪

简易逻辑分析仪 摘要 本系统基于逻辑分析仪原理,以AT89C系列单片机为核心,设计制作完成了简易逻辑分析仪。本系统主要由数字信号发生器模块、采集存储和示波器显示模块、人机交互模块三部分组成。基于题目要求,本系统对触发方式、信号采集存储、示波器显示波形和时间标志线、友好的人机界面等功能进行了重点设计。经测试,各项指标均满足基本部分和发挥部分的要求,并且有些指标超出题目要求。 关键字:逻辑分析仪;单片机;液晶 Abstract: Keywords: Signal Oscilloscope;MCU;LCM 1、 总体方案设计 1. 方案比较和选择 方案一:纯FPGA/CPLD(可带IP核)或FPGA/CPLD与单片机结合方式。即由FPGA/CPLD产生数字序列信号,判断单、三级触发信号,设定门限电压,采集、存储、显示被测信号;由IP核实现人机交互及信号测量分析等功能;或由单片机完成IP核实现的功能。此方案优点是速度快、精度高。缺点是软硬件复杂、调试困难、程序不易控制、性价比极低;而且体现不出本方案的优势。 方案二:纯单片机方式。即由多片单片机分别完成数字序列发生器,判断触发信号、数据采集、存储、显示,人机交互、门限电压设定

等功能。优点是操作方便、软件结构清晰、控制灵活、调试容易、性价比较高。本系统对速度的要求不是很高,所以单片机完全能够胜任。 2. 系统设计方案 本系统以三片单片机AT89C51为核心,将设计任务分解为数字信号发生器模块、采集存储和示波器显示模块、人机交互模块三部分。其中核心和关键部分是采集存储和示波器显示模块,另外两个模块起辅助作用。总体系统框图如图1所示。 图1 2、 电路设计与分析 1.可预置的8路数字信号发生器 本模块用于产生8路可预置的重复循环移位逻辑信号序列,输出信号为TTL电平,序列时钟频率为100Hz。输出数字信号如图2示例所示。

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

简易逻辑分析仪

简易逻辑分析仪 一、方案论证及选择 1、系统总体框图如下: 整个系统由信号发生器部分、信号调理部分、ARM软件控制部分以及输出显示部分组成。 2、数字信号发生器模块 方案一:采用555定时器和可预置移位寄存器。用两片74LS194A接成8位可预置循环移位寄存器,方波发生器提供一时钟信号给移位寄存器,预置数用8个按键接入(即循环序列),此方案简单可靠。 方案二:用PC 通过软件编程可以从并行口输出信号波形,不需要硬件电路,且设计灵活,但是不适合电子设计竞赛,并且PC体积大,携带不方便。 方案三:采用中规模FPGA,使用VHDL语言设计移位寄存器。此方案可以实现精确定时产生信号,且信号频率可调,体积小, 但其显示电路占用资源多,这样设计出来的电路系统将大且复杂。 方案四:采用一片AT89C2051单片产生波形序列。用单片机产生数字信号,设计简单,设置灵活,频率调节方便。 综合分析上述各方案,比较其优缺点,本系统有其固定的频率要求,故选用最简单的方案一。 3、门限电压分级部分 方案一、采用单片机软件控制分级输出不同的电压值,给到比较器的反相端。该方案简单、且输出电压精确。 方案二、用单片机产生一路PWM波,再经过两级RC低通滤波可得到直流电压,通过控制PWM波的占空比来改变电压值,达到分级效果。该方案RC滤波得到的电压不稳定,且有纹波。

方案三、直流电源供5V电压,采用电阻、电位器进行一级一级的分压,以实现分级效果。该方案电路复杂,且电位器调节比较难。 方案四、采用数字式电位器,由单片机结合相应的外围电路进行控制,以实现分压。采用程控方式,得到的电压精确且稳定。 鉴于本系统软件程序较多,ARM内部仅两个DA,故选择方案四以避免使用单片机内部DA。 二、硬件部分单元电路 1、数字信号发生器电路 该部分采用了555定时器产生一定频率的时钟信号,通过改变滑动变阻器阻值可实现频率在一定范围内可变,定时器后接一个非门以增强后级驱动能力。定时器产生的方波信号作为双向移位寄存器74LS194的输入时钟,利用74LS194的两个控制端(S0,S1)来产生八路可预置的循环移位逻辑信号序列。当按键SW2按下时,74LS194将按键的逻辑状态输入移位寄存器,送入移位寄存器的这组数值便在时钟的控制下循环移位。 2、信号调理部分 由移位寄存器产生的逻辑信号经过电压比较器LM339,与一可调门限电压进行比较,并输出TTL逻辑电平。这些电平信号输入到单片机与用户自己设置的触发状态字进行比较进而输出题目要求采集的信号。由于LM339输出的信号电平为5V,而单片机可承受的电压最大为3.3V,故需在LM339后进行光耦隔离以防止外部设备给过大的电流给单片机,同时也达到降压的效果。

基于DSP的简易频谱分析仪设计

基于DSP的简易频谱分析仪设计 摘要 我们对一个信号的认识只在时间域是远远不够的,所以还要在频域去认识和分析它。在电子测量中,测量网络阻抗特性以及传输特性是经常遇到的问题问题,其中,幅频特性、增益和衰减特性、相频特性等是属于传输特性内的。它很大程度方便了调整,校准被测网络及排除故障。 本此设计制作了一个简易频谱分析仪从而可以更直观的看到信号的特性。为了实现这一目标,我们需要利用快速傅里叶变换(FFT)来实现对信号的频谱分析。由于DSP可以处理比较复杂的算法本次设计采用FFT算法通过DSP分析显示输入波形的频率值。 关键词:频谱分析DSP FFT 显示频率

The Simple Spectrum Analyzer Design Based on DSP Abstract We can’t know a signal only in the time domain .It is far from enough, so we also recognize and analyze it in the frequency domain. In the electronic measurement, impedance and transmission characteristics of the network are often encountered in the measurement problems; Transmission characteristics include the gain characteristics, attenuation characteristics, amplitude-frequency characteristic and phase frequency characteristics. It provides a great convenience for the adjustment of the network under test, calibration and troubleshooting. We design a simple spectrum analyzer to see the characteristics of the signal more intuitively. In order to achieve this goal, we need to use the fast Fourier transform ,that is FFT which make spectrum analysis of the signal. Since the DSP can solve the more complex algorithms than others. Hence, we designed a simple spectrum analyzer using the FFT algorithm by DSP to show the frequency of the input waveform. Key word s: Spectrum Analyzer ; DSP; FFT ; Frequency Display

相关主题
文本预览
相关文档 最新文档