当前位置:文档之家› 基于单片机的简易逻辑分析仪论文(经典)

基于单片机的简易逻辑分析仪论文(经典)

基于单片机的简易逻辑分析仪论文(经典)
基于单片机的简易逻辑分析仪论文(经典)

目录

第1节引言 (3)

1.1系统概述 (3)

1.1.1系统的特点 (4)

1.1.2系统的功能 (4)

第2节系统主要硬件电路设计 (5)

2.1 系统结构框图 (5)

2.2 主体控制模块 (5)

2.3 系统硬件的主体实现 (7)

2.3.1 数字信号发生器模块的电路设计与实现 (7)

2.3.2 主控系统模块的电路设计与实现 (8)

2.3.3 LED显示模块的电路设计与实现 (10)

2.3.4 硬件的抗干扰措施 (12)

第3节系统软件设计 (13)

3.1 系统软件流程 (13)

3.2 中断服务子程序 (15)

3.3 AT24C04程序设计 (15)

第4节结束语 (19)

参考文献 (20)

基于单片机的简易逻辑分析仪

第1节引言

信息时代是数字化的时代,数字技术的高速发展,出现了以高性能计算机为核心的数字通信、数字测量的数字系统。在研究这些数字系统产品的应用性能的同时也必须研究在设计、生产和维修他们的过程中,如何验证数字电路设计的合理性、如何协调硬件及其驱动应用软件的工作、如何测量其技术指标以及如何评价其性能。逻辑分析仪的出现,为解决这些问题提供了可能。

随着数字系统复杂程序的增加,尤其是微处理器的高速发展,用示波器测试己显得有些无能为力。1973年在美国应运而生的逻辑分析仪(Logic Analyzer),能满足数字域测试的各种要求。它属于总线分析仪一类的数据域测试仪器*主要用于查找总线(或多线)相关故障.同时对于数据有很强的选择能力和跟踪能力,因此,逻辑分析汉在数字系统的测试中获得了广泛的应用。

逻辑分析仪(Logic Analyzer)是以逻辑信号为分析对象的测量仪器。是一种数据域仪器,其作用相当于时域测量中的示波器。正如在模拟电路错误分析中需要示波器一样,在数字电路故障分析中也需要一种仪器,它适应了数字化技术的要求,是数字、逻辑电路、仪器、设备的设计、分析及故障诊断工作中不可按少的工具。在测试数字电路、研制和维修电子计算机、微处理器以及各种集成化数字仪表和装置中具有广泛的用途;还是数字系统设计、侦错、软件开发和仿真的必备仪器;作为硬件设计中必不可少的检测工具,还可将其引入实验教学中,建立直观感性的印象,提升学生的硬件设计能力,可以全面提高教学质量;随着科技的发展,LA在多通道、大存储量、高采样速率、多触发功能方面得到更快的发展,在航天、军事、通信等数字系统领域得到越来越广泛的应用。

我们从上面可以看出逻辑分析仪在各个领域的广泛应用。那么我们在学习、应用的同时设计并制作一个简易的逻辑分析仪就显的意义重大了,这样这个过程既可以让我们更加深入理解其原理,又可以提高动手设计并制作整个系统电路的能力,还可以将其作为简易仪器应用于以后的实验中。

1.1系统概述

因在本节中,我们将对简易逻辑分析仪的应用进行分析。给出它的特点,能实现的功能以及系统的简单操作

1.1.1 系统的特点

逻辑分析仪也称逻辑示波器,它是用来分析数字系统逻辑关系的一种仪器。逻辑分析仪的主要作用有二个:一是用于观察的形式显示出数字系统的运行情况,相当于扩展了人们的视野,起一个逻辑显示器的作用;二是对系统运行进行分析和故障诊断。

一般的逻辑分析仪是由数据获取和数据显示两大部分组成的。前者捕获并存储所要观察分析的数据,后者用多种形式显示这些数据。在这里,关键是触发.它的作用是在被分析的数据流中按索特定的数据字。一旦发现这个数据字,便产生触发信号去控制和存储有效数据。因此,它决定了观察的数据窗口在数据流中的位置。

本设计具有以下特点:

1、具有足够多的输入通道,一般的示波器只有2路通道,本设计了8路输入通道。

2、多种触发方式:设置了单字触发和三级触发两种触发模式

3、具有记忆能力:采用EEPROM实现数据的掉电存储

本设计的主要特色:

★数字信号发生器使用AT89C2051单片机来控制,达到了高精度的信号输出。

★数字信号的逻辑状态显示也用AT89C2051单片机辅助主CPU系统实现。

★使用D/A电阻网络,结合单片机对信号幅度进行灵活地控制。

★采用发光二极管来指示电路测试点,一目了然,便于调试。

★在系统的软硬件设计中均加入了运行良好的抗干扰措施。

★强大的软件设计功能,大大简化了硬件电路。

1.1.2 系统的功能

逻辑分析仪的逻辑分析仪(简称LA)是新型的数据域分析仪器,它有许多

独特的功能。把这些功能分成取数、触发、存储、显示等几个方面,

本系统实现的功能是:

(1)能产生8路可预置的循环移位逻辑信号序列,输出信号为TTL电平,序列时钟频率为100Hz,并能够重复输出。

(2)单次触发采集存储显示,单次触发方式是指在满足触发条件后,能对被测信号进行一次采集、存储。之后输出通过DAC转化为模拟电压后输出,在示波器上显示出8路信号,并能显示触发位置。

(3)任意两通道三级触发存储显示,由键盘输入8路中任意2通道的通道号及3级触发字,当指定通道的触发字连续依次满足时,能对被测信号进行一次采集,存储,送DAC后输出显示。同时在屏幕上标记出3级触发字的位置。

(4)显示触发字的位置,可以在模拟示波器显示屏上对触发字进行标记。

(5)显示可以移动的光标,可以通过键盘的加、减控制光标在水平方向的坐标。

(6)翻页显示,可以用键盘控制翻页显示,每隔32bit为一页的内容,扩展了存储深度。

第2节系统主要硬件电路设计

2.1 系统结构框图

图2-1 系统结构框图

本系统采用单片机和可编程器件作为数据处理及控制核心,整个系统由一个信号发生器和一个简易逻辑分析仪构成。将设计任务分解为数字信号发生、信号采集存储、信号融合处理、显示、掉电保护等功能模块。图1即为该系统的总体框图。考虑到硬件电路的紧凑性,故将上述模块合理分配连接成以下三个模块:数字信号发生器、最小系统主控器、键盘/显示。由于数字信号发生器是用于测试的需要而设计的一个模块,那么下面对各模块的设计进行逐一论证比较。

2.2 主体控制模块

系统主控模块包括最小系统和数字信号处理控制模块。该模块是硬件电路的核心,有如下两个方案。

方案一:以8031单片机为核心。但8031无片内ROM,需外扩EPROM(例如27526)作为程序存储器。这样会增加电路的复杂性。

方案二:采用AT89C51单片机为主控制核心的双CPU串行通信方式。AT89C51芯片,其内部含有可重复编程的FLASH ROM,,可进行1000次檫除操作,在设计调试过程中可十分容易进行程序的修改,达到最佳的设计。利用存储器(EEPROM)实现掉电存储功能。从CPU系统即以89C2051为主的显示模块的控制。数字信号处理模块主要是D/A转换器件的选择,我们选用性能优良的DAC0832作为主控器件。该方案的特点是硬件简单,软件实现方便,大大提高了系统的设计性能。

门限电压:

要实现门限电压0.25-4V之间16级可调这一问题上有两种方案。

方案一、电阻分压,利用电阻网络把电压分级作为触发门参考电压,用模拟开关4067在把每个通道的信号和这个参考电压用电压比较器来比较,判断输入信号高低,输入单片机处理。

方案二、用D/A电阻网络进行电压等级的划分作为参考电压,在用比较器来比较高低。与方案一比具有精确可调的优点。

方案一:本方案采用8279可编程接口芯片来实现系统的键盘/显示器扩展功能,降低了电路的复杂度,提高了系统的稳定性及可靠性。8279能自动完成键盘输入和显示控制两种功能。键盘控制部分提供一种扫描工作方式,可与64个按键的矩阵键盘或传感器连接,能对键盘进行自动扫描、自动消抖、自动识别出按下的键并给出编码,能同时按下双键或N键实行保护,其接收键盘上的输入信息存入内部FIFO缓冲器,并可在有键输入时向CPU请求中断。8279提供了按扫描方式工作的显示接口,其内部有一个显示缓冲器,能对8位或16位LED自动进行扫描,将显示缓冲器的内容在LED上显示出来。

图2-3 8279键盘/显示模块

方案二:由单片AT89C2051控制8个共阳数码管、8个按键构成动态显示模块。由于具有RS--232接口,易于与某些基于虚拟仪表技术的仪器主板相连,使其脱机工作,成为便携仪表,方便了使用;与专用键显接口芯片8279相比,价廉;采用串行方式

与主控单片机交换信息,硬件及工艺设计简单,抗干扰能力强;可承担键显及其他信息处理功能,实现了键显智能化,从而使主机软件设计所考虑的因素减少,程序结构得以简化

图2-4 键盘/显示模块

方案三:LCD液晶显示。例如采用COM12864液晶显示模块可以显示各种字符及图形,可与CPU系统直接接口,具有8位标准数据总线、6条控制线及电源线,接口电路简单,控制方便。

以上三种都是比较可行的数据显示方案。尤其是方案三在显示功能的实现上优于方案一、方案二,但是在本题中,考虑到本设计对显示功能的要求不多,用方案二就完全可以很好的实现,所以为了降低设计成本,我们不采用LCD液晶显示而采用方案二的设计。

2.3.系统硬件的总体实现

经过仔细地论证与比较,我们确定了系统的各个主要模块的最终的可行方案,系统总体原理框图如图2-5所示。

图2-5 系统总体原理框图

2.3.1数字信号发生器模块的电路设计与实现

用AT89C51单片机产生可预置的二进制序列。只要在最小系统中添加一个开关组选择高低电平,单片机通过扫描开关组连接的P口的状态,并通过软件来控制单片

机8个P口的波形输出,输入到74LS04的反向器输入端,通过TTL电平转换,输出8路数字信号。该电路结构简单可行,通过示波器可以看到它能够产生清晰稳定的矩形波。该设计的优点是信号稳定性好,频率精度高,有利于用单片机对数字信号进行智能化控制。

以AT89C51为核心的数字信号发生电路如图2所示。其工作原理是:AT89C51单片机输出可预置的二进制序列到74LS04的反向输入端,经过TTL电平转换,产生所需的高稳定、高精度的数字信号。注意:在该模块中,要实现电平转换功能必须用采用74LS系列的反向器,不可用CMOS系列的反向器(例如CD4069),因为在引脚的驱动能力上,74系列相对与CMOS系列更强,在本设计中,也就是说能够输出更稳定的信号。

2.3.2主控系统模块的电路设计与实现

该模块主要由最小系统和信号采集处理电路组成。最小系统由一片AT89C51、一片AT89C2051及一片EEPROM (AT24C04)构成。信号采集处理电路由运放LM324组成的D/A 电阻网络组成。

该模块的设计思路是:由双CPU 系统控制对数字信号发生器输出的数字信号进行采样存储,再经过一系列的信号处理,在模拟示波器上复现出来。从模拟示波器波形显示原理可知,只要在Y 轴(纵轴)输入一个电压信号,同时在X 轴(横轴)加上一个同频的锯齿波扫描电压,便可在示波器上复现电压信号的波形。为此必须设计相应的X 、Y 的输出电压信号。本设计在单片机的控制下,经数模转换电路D/A 分别向示波器的X ,Y 轴输出锯齿波同步信号、被采样波形信号,以复现被采样存储的波形。在这,根据题目要求(8路信号通道,包括8位X 通道和8位Y 通道,)我们采用有8位输出通道、两级锁存控制功能、能够实现多通道D/A 的同步转换输出的DAC0832芯片。由此可知该模块的工作原理如下:

单片机控制程序先向Y 轴输入被采样存储在RAM 中的波形数据,经DAC0832内部的D/A 电阻网络生成阶梯波,选通Y 轴DAC0832中的第1锁存器并被锁存;向X 轴送入对应的锯齿波数据(该数据是由D/A 生成的阶梯波经一个LM324组成的低

J2CON

通滤波器滤波后,再送入LM324构成的电压跟随器而转换出锯齿波。但是实践证明,通过这种方式生成的波形并不理想。为了得到理想的锯齿波,我们一改常规思维,着手从软件的角度考虑,我们要将阶梯波转换成锯齿波,可以增加阶梯数,减小阶梯幅度,当离散的数字量增加到一定量时可以近似看成模拟量,如图2-9所示。),这个写信号选通X轴DAC0832中的第1锁存器;然后通过向外部存储器写数据指令产生的译码信号DAC——X+Y,将在X,Y轴第1锁存器锁存的数据与100HZ的时钟信号同步送出并进行D/A转换,再将转换结果送到示波器,从而达到在示波器上显示波形的目的。

图2-9 波形转换

2.3.3LED显示模块的电路设计与实现

键盘/显示装置主要由89C2051单片机、译码驱动器、键盘、LED显示器组成,其电路原理图如图3-6所示,键盘功能如图2-10所示。

各按键功能说明如下:

SET—X:设置系统参数;

SET—1:设置存储地址;

SET—2键即可改变设定字;SET—3:设置3级逻辑状态触发字及触发模式选择;SET—4:设置门限电压(16级可调);

RUN:系统开始运行;

STOP:系统复位停止运行;

DISPLAY:示波器显示波形;

:时间标志线左移;

:时间标志线右移;

:分页显示切换。

通电开机,LED显示“READY”。按下“SET”键设置系统参数,按SET-1键可以设置存储地址,将设置的数据送到指定地址进行存储,实现数据的掉电保护。按SET-2键就可设置单级触发字,当系统检测到的数据与设定的触发字相同时,就进行数据的触发,并在LED上显示“SUCCESS”,然后按“DISPLAY”键即可在示波器上显示8路波形,并显示触发点和时间标志线。按左移键、右移键可改变时间标志线的位置,并在LED上显示出时间标志线所对应时刻的逻辑状态。按SET-3键就可以选择触发模式和设置3级逻辑状态触发字。触发模式有单级触发字和3级逻辑状态触发字,我们用两位LED进行设置,当两位LED设置成00H时,则为单级触发。其余则为3级逻辑状态触发,触发信号可以指定,当第一位为1,2,3变化时,在第二位中根据具体波形参数设置数据,当系统连续捕捉到设定的3个触发字时,开始对被测信号进行采集、存储与显示等操作。触发成功后,在LED上显示“SUCCESS”字样,并在示波器上显示波形、触发点和时间标志线。按SET-4键时可以对门限电压进行设置,使门限电压在0.25V 到4V 的范围内进行16级变化。在信号触发后,还可以对信号的分页显示进行操作。另外,每个信号通道的存储深度由20BIT扩展到32BIT,因而需进行分页显示,在信号触发后,还可以对信号的分页显示进行操作这时,我们可以

图 2-11 键盘/显示模块

2.3.4 硬件的抗干扰措施

①配置去耦电容。电源输入端跨接220UF的电解电容。在关键元件中串入0.1UF 的无感瓷片电容或者云母电容。电容引线尽量短,减少高频带来的影响。

②其次,尽量加粗地线。

第3节软件设计

软件是本系统的灵魂,在设计软件时,我们从系统实用、可靠及方便使用几方面予以考虑,特别加入了开机自检功能。系统软件主要由四大功能模块组成:①数据通讯及处理模块;②键盘/显示模块;③波形发生模块;④中断服务模块。

软件设计的其他特色:

在软件设计中加入了软件抗干扰措施(采用软件陷阱技术)。

●在程序区的断层(即不使用的区域),以NOP指令填空,以保证因干扰而造成弹飞的程序尽快步入正常运行轨道。

●设置软件陷阱。用一条引导指令强行将捕获的程序引向一个指定的地址。为增强捕获效

果,在它前面加入2条NOP指令,如下

NOP

NOP

LJMP ???H

3.1 系统软件流程

(a)、软件主CPU程序流程图如图3-1示。

主CPU通过跟从CPU及AT24C04的串行通信从而来完成数据的传输和通信。主要完成数据的采集,存储,显示,系统变量的设置等功能。

系统初始化是对系统所用到的变量、定时器、中断方式等进行设置。然后判断是否进行联机测试,是的话,系统开始串行通信,进行数据的传输。并进行系统参数设置即:设置门限电压,设置触发方式,设置时间轴位置。设置门限电压:电压从(0.25V—4V)16级变化,可以通过软件设定某个门限电压,就是将某个电压值设为标准,超过这个电压视为高电平,反之则为低电平。设置两种不同的触发方式即:单级触发还是三级触发,先选择是何种触发方式,若是单级触发,设置单级触发字,若符合要求,则触发在LED上显示SUCESS,并送示波器显示8路触发的波形。若是三级触发。即当连续依次捕捉到设定的3个触发字时,开始对被测信号进行一次采集、存储与显示,并显示触发点位置。3级触发字可任意设定(例如:在8路信号中指定连续依次捕捉到两路信号11、01、00作为三级触发状态字)。若符合要求,则触发在LED上显示SUCESS,并送示波器显示8路触发的波形。设置时间轴位置:就是在八路波形的某个垂直位置设置一条时间轴,可以将触发的波形存储在AT24C04中,实现了波形的存储。

图3-1主CPU(AT89C51)程序流程

(b)软件从CPU程序流程图如图3-2所示。

此CPU程序主要完成了键盘、显示功能。与主CPU进行串行通信,通过键盘可以设置各种参数,如可以设置触发字,当满足触发条件时进行触发并显示

图3-2 从 CPU (AT89C51)程序流程

3.2 中断服务子程序

图3-2 中断服务子程序

3.3 AT24C04程序设计

我们利用AT 24C04对数据进行存储和掉电保护。与AT89C51相连时,P3.5用作SDA 线,P3.4用作SCL 线。

AT24C04的程序流程:

图3-3 AT24C04流程

总线协议:

?总线空闲:SCL和SDA都保持高电平。

?开始信号:SCL保持高电平的状态下,SDA出现下降沿。出现开始信号以后,总线被认为"忙"。

?停止信号:SCL保持高电平的状态下,SDA出现上升沿。停止信号过后,总线被认为"空闲"。

?总线忙:在数据传送开始以后,SCL为高电平的时候,SDA的数据必须保持稳定,只有当SCL为低电平的时候才允许SDA上的数据改变。

开始信号:

START_BIT: SETB SDA ;SDA为高电平

NOP

SETB SCL ;SCL 为高电平

NOP

CLR SDA ;SDA为低电平

NOP

CLR SCL ;SCL 为低电平

RET

;---------------------------------------------------------------------------

停止信号:

STOP_BIT: CLR SDA ;SDA为低电平

NOP

SETB SCL ;SCL 为高电平

NOP

SETB SDA ;SDA为高电平

NOP

CLR SCL ;SCL 为低电平

RET

;------------------------------------------------------------------------------------------- 发送一个字节:

SEND:MOV R0,#08H ;R0为8 H

SEND0:RLC A ;A左移

MOV SDA,C ;数据传输

ETB SCL ;SCL 为高电平

ACALL DEALY ;延时

CLR SCL ;SCL 为低电平

DJNZ R0 ,SEND0 ;R0=0时转SEND0

SETB SDA ;SDA为高电平

RET

接收一个字节:

REV:MOV R0,#08H ;R0为8 H

MOV C,SDA ;数据接受

RLC A ;A左移

CLR SCL ;SCL 为低电平

DJNZ R0,REV0 ;R0=0时转SEND0

RET

;-----------------------------------------------------------------------

接收一个应答位:

ACKI:SETB SCL ;SCL 为高电平

ACALL DELAY ;延时

MOV C,SDA ;接受

CLR SCL ;SCL 为低电平

RET ;返回

发送一个应答位:

ACKO:SETB SCL ;SCL 为高电平ACALL DELAY ;延时

MOV SDA,C ;发送

CLR SCL ;SCL 为低电平

RET

结束语

系统采用双CPU控制方式,以AT89C51单片机为核心,用AT89C2051辅助控制显示及键盘,由数字信号发生器、系统主控制器、键盘/显示三大模块组成,实现8路可预置的循环移位逻辑信号序列的产生、采集、存储及在模拟示波器上清晰稳定地显示等功能。采用EEPROM实现数据的掉电存储,掉电后仍可保存所采样的信息。本设计的软件、硬件都采用模块化的设计方法,提高了设计效率。

本设计以多功能、低功耗、操作方便、结构合理、易于调试为主要设计原则。在系统设计过程中,我们力求硬线路简单,充分发挥软件编程方便灵活的特点,并最大限度挖掘单片机片内资源,来满足系统设计要求。本设计的关键部分是在软件方面,题目的发挥部分完全是通过强大的软件控制来实现的。我们充分利用了89C51的强大功能,除了发挥部分中的触发位置可调这一要求尚未很好的实现之外,全部较好地实现了题目基本部分和发挥部分的要求。

在电路设计中采用模块设计法,对各电路模块进行单独设计和测试,最后将各模块组合后进行整体测试。单片机软件可先在最小系统板上调试,再与硬件系统联调。在本设计中,每个模块的智能化功能均通过软硬件的最佳融合来实现。

但是因为元器件本身参数存在误差、测量仪器误差、读数误差等,就要求我们尽量用科学的方法测试,以减小误差。本设计在软件仿真时运行良好。但是感觉稳定性还是不够,因而应该在稳定性方面有所改进

参考文献

[1]:简易逻辑分析仪设计报告,文章来源:广东工业大学五山校区信息工程学院,作者:郑士源、

陈真华、林庆华

[2]:逻辑分析仪原理与应用,出版社:人民邮电出版社,作者:顾乃绂孙续

[3]:大学生电子设计竞赛组委会、第五届全国电子设计竞赛获奖作品选编北京:北京理工大学出

版社2003

[4]:逻辑分析仪,测控技术1995 03

[5]:大连理工大学2C串行总线原理及其在单片机接口中的实现

作者:唐鹏程邹久朋

[6]:电子测量电气测量技术和仪器

基于单片机毕业设计(论文)开题报告

徐州工程学院 毕业设计(论文)开题报告 课题名称:基于单片机的住宅小区煤气 泄露实时报警器设计 学生姓名:学号: 指导教师:职称: 所在学院: 专业名称: 徐州工程学院 20 年月3日

说明 1.根据《徐州工程学院毕业设计(论文)管理规定》,学生必须撰写《毕业设计(论文)开题报告》,由指导教师签署意见、教研室审查,学院教学院长批准后实施。 2.开题报告是毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一。学生应当在毕业设计(论文)工作前期内完成,开题报告不合格者不得参加答辩。 3.毕业设计开题报告各项内容要实事求是,逐条认真填写。其中的文字表达要明确、严谨,语言通顺,外来语要同时用原文和中文表达。第一次出现缩写词,须注出全称。 4.本报告中,由学生本人撰写的对课题和研究工作的分析及描述,没有经过整理归纳,缺乏个人见解仅仅从网上下载材料拼凑而成的开题报告按不合格论。 5. 课题类型填:工程设计类;理论研究类;应用(实验)研究类;软件设计类;其它。 6、课题来源填:教师科研;社会生产实践;教学;其它

课题 名称 基于单片机的住宅小区煤气泄露实时报警器设计 课题 来源 社会生产实践课题类型工程设计类 选题的背景及意义 近年来随着人民生活水平的提高,管道煤气和罐装煤气已深入到寻常百姓家。但由于使用不当或设备老化等原因导致的煤气泄漏极大地威胁着人们的生命财产安全。煤气泄漏而大量产生的一氧化碳是煤气中毒事件的根源,如采用煤气泄漏报警器就能得到及时的警示。单片机在日用电子产品中的应用越来越广泛,为现代人工作、科研、生活、提供更好的更方便的设施。为了防止中毒事件再次发生,提出利用单片机系统进行有效的预防对策。为此设计出家用煤气泄漏报警控制器。 煤气泄漏的危害 一氧化碳的浓度与健康成年人中毒的可能症状 50ppm 健康成年人在八小时内可以承受的最大浓度 200ppm 2-3小时后,轻微头痛、乏力 400ppm 1-2小时内前额痛;3小时后威胁生命 800ppm 45分钟内,眼花、恶心、痉挛;2小时内失去知觉;2-3小时内死亡1600ppm 20分钟内头痛、眼花、恶心;1小时内死亡 3200ppm 5-10分钟内头痛、眼花、恶心;25-30分钟内死亡 6400ppm 1-2分钟内头痛、眼花、恶心;10-15分钟死亡 12800ppm 1-3分钟内死亡

数字频谱分析仪设计论文

本科生毕业论设计 论文题目:数字频谱分析仪 姓名: 学号: 班级: 年级: 专业: 学院:机械与电子工程学院 指导教师: 完成时间:

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

基于51单片机的简易逻辑分析仪设计

本科生毕业设计报告学院物理与电子工程学院专业电子信息工程 设计题目:基于51单片机的 简易逻辑分析仪设计 学生姓名 指导教师 (姓名及职称) 班级 学号 完成日期:年月

基于51单片机的简易逻辑分析仪设计 物理与电子工程学院电子信息工程 [摘要]本设计完成了一种能进行数字电路中多路数据测试的简易逻辑分析仪。它以51单片机控制核心,数模转换器为逻辑信号门限电平控制电路,用按键和 12864LCD作为人机交互界面,采用C51进行模块化编程,实现了四路信号的测试,具有成本低,使用方便等特点。 [关键词]数字电路单片机数模转换器逻辑分析仪 1 设计任务与要求 本设计的主要任务及参数指标是:数据位数4位,存储深度80字;数据速率最高1kHz;输入阻抗大于50kΩ;逻辑信号门限电平在1.0V~4.0V 范围内按8级任意设定。 2 设计方案 本系统采用51单片机为控制核心,系统由单片机系统、逻辑电平控制、按键、LCD显示、系统电源等模块构成。被测数据输入到逻辑电平控制模块,然后进行单片机进行测试,按键用于控制逻辑信号门限电平的大小,系统电源为各模块供电,各模块的供电电压为5V。

图1 系统框图 3 设计原理分析 3.1 单片机系统电路设计 图2 单片机系统电路 单片机系统为逻辑分析仪的核心,负责控制逻辑分析仪的逻辑电平、检测按键并驱动LCD 进行显示。单片机系统电路如图2所示,由晶体振荡器Y1、电容C3和C4构成振荡器电路,为单片机提供时钟信号。电容C1、电阻R2和R1、按键KEY1构成单片机复位电路,高电平复位,当按键KEY1按下的时间超过2个机器周期以上时,单片机就执行复位操作。EA 接高电平,单片机首先访问内部程序存储器。J1为1KΩ的排阻,作为P0口的外部上拉电阻。在硬件制作时为了方便单片机的测试和功能的扩展,把所有的I/O 口均通过排针引出。 EA/VP 31X119X218RESET 9 RD 17WR 16 INT012INT113T014T115P101P112P123P134P145P156P167P178P0039P0138P0237P0336P0435P0534P0633P0732P2021P2122P2223P2324P2425P2526P2627P27 28 PSEN 29 ALE/P 30TXD 11RXD 10U18051 P10 P11P12P13P14P15P16P17P00P01P02P03P04P05P06P07P20P21P22P23P24P25P26P27 123456789J1 1K +5 Y112M +5 RXD TXD RD WR T0T1INT0INT1C3 22p F C4 22p F R28.2K C110u F +5 12J6CON2 KEY1SW2 R1100 . .

简易逻辑分析仪报告

简易逻辑分析仪 摘要 本系统是由单片机作为主控制器、可编程器件作为辅助控制单元来实现数字信号产生、逻辑信号采集和示波器显示。 由单片机为核心的信号发生器,实现了大范围可控频率、预设码型的信号输出;数据采集模块的输入电路中的程控迟滞比较器,提高了输入信道的抗干扰能力。可编程器件高密度特点在本系统中的应用,大大减少了外围器件,增强了系统的可靠性。带有LCD显示模块为用户控制提供友好的人机界面,实现了设置掉电保护功能,并支持鼠标操作和图形打印。 关键词逻辑分析仪单片机可编程器件程控迟滞比较器一、方案论证及选择

方案一: 利用普通的74系列移位计数器构成数字信号发生器,纯单片机方式实现逻辑分析仪。 图 1-1 方案一结构框图 如图1-1所示,数字信号发生器部分,利用74系列的移位计数器的基本功能,通过拨码开关向置数端预置循环序列,通过TTL 驱动输出数字信号。逻辑分析仪部分的门限电压由电位器控制。这种方法单片机除了完成基本的数据分析外,还需要完成对逻辑数据的采集、存储、显示等大量控制工作。 方案二: 由单片机产生数字信号序列,由另外两片单片机构成逻辑分析仪。 射随器 门限 比较器 电位器 调压电路 单 片 机 Z Y X D/A D/A 预 置 拨码开关 序列 输出 数字信号发生器 简易逻辑分析 100Hz 时钟 键盘 级联74 移位计数器 数码管

图 1-2 方案二结构框图 如图1-2所示,相比方案一在信号产生上方案二采用了单片机方案,数码管显示循环序列码状态,本方案用软件可以实现不同频率、更加复杂数字信号的输出。在逻辑分析仪部分,部分的特点是双单片机结构,二者通过串口通信,下位机单片机3只负责显示,上位机单片机2通过D/A 输出程控的门限电平。本方案解决了显示与数据采集处理不能同时工作的矛盾, 方案三 利用FPGA/CPLD 的高速特点,实现系统并行工作,这是本方案相比于方案二的特色之一。用可编程器件可以高速完成单一功能模块。FPGA/CPLD 的使用弥补了单片机在高速采集和实时显示的弱点,使整个系统的处理能力远超过当前微控制器的水平,这使设计十分具有发挥的空间。而且通过合理地划分软硬件的工作量,将使软件控制和软件编写变得容易。 单 片 机 2 单 片 机 3 单 片 机 1 输出级TTL 驱动 射随器 D/A 门限 比较器 串口 通信 Z Y X D/A D/A 数码管 键盘 数字信号发生简易逻辑分析 键盘 数码管

基于单片机的毕业论文题目有哪些

基于单片机的毕业论文题目有哪些 很多物联网专业的学生对单片机非常感兴趣,不光是对专业的热爱,另外由于单片机是集成电路芯片,是控制整个流程最基础的环节,大多数理科生对这种控制式设计充满着好奇,下面,我们学术堂整理了多个基于单片机的毕业论文题目,欢迎各位借鉴。 基于单片机的毕业论文题目一: 1、基于单片机的压电加速度传感器低频信号采集系统的设计 2、基于单片机的超声测距系统 3、基于C8051F005单片机的两相混合式直线步进电机驱动系统的设计 4、基于单片机的工业在线数字图像检测系统研究与实现 5、基于FPGA的8051单片机IP核设计及应用 6、基于单片机的军需仓库温湿度测控系统研究 7、单片机多主机通信模式在粮库温湿度监控系统中的应用 8、基于单片机的中小水电站闸门控制系统 9、基于单片机的正弦逆变电源研制 10、单片机实验教学仿真系统的设计与开发 11、基于单片机的温湿度检测系统的设计 12、基于单片机的蓝牙接口设计及数据传输的实现 13、基于单片机的多功能温度检测系统的设计与研究 14、基于单片机的温度控制系统的研究 15、行为导向教学策略在职校单片机课程教学中的应用研究 16、逻辑电路与单片机的虚拟实验系统设计与实现

17、基于单片机的LED显示系统 18、基于单片机的校园安防系统 19、基于MSP430单片机的红外甲烷检测仪设计及实现 20、基于高性能单片机的无线LED彩灯控制系统的设计与实现 21、基于AVR单片机教学实验板的设计 22、基于单片机的阀岛控制系统的研究 23、基于AT89S51单片机实验开发系统设计 24、基于单片机和GPRS数据传输技术的研究 25、基于HCS12单片机的智能车底层控制系统研究 26、单片机GPRS智能终端及远程工业监控技术研究 27、基于单片机的MODBUS总线协议实现技术研究 28、基于单片机的室内智能通风控制系统研究 29、基于单片机的通用控制器设计与实现 30、基于单片机控制的PTCR阻温特性测试系统的设计与实现 31、Proteus在单片机教学中的应用 32、基于单片机的变频变压电源设计 33、基于单片机的监控系统控制部分的设计 34、基于单片机的葡萄园防盗报警系统设计 35、基于单片机的温度智能控制系统的设计与实现 36、基于单片机的远程抄表系统的设计与研究 37、基于单片机的温度测控系统在温室大棚中的设计与实现 38、基于单片机的高精度随钻测斜仪系统开发 39、基于16位单片机MC9S12DG128B智能车系统的设计 基于单片机的毕业论文题目二: 40、基于单片机的压力/液位控制系统的设计研究 41、单片机与Internet网络的通信应用研究 42、基于单片机控制的温室环境测控装置研究 43、具有新型接口的MCS-51单片机实验系统设计 44、基于单片机控制的直流恒流源的设计 45、基于单片机的模糊控制方法及应用研究 46、基于AT89S52单片机的煤矿瓦斯监测系统的研制 47、基于AT89C51单片机的脉象信号采集系统研究 48、基于DTMF技术的单片机远程通信系统研究 49、基于单片机的GPRS无线数据采集与传输系统的设计 50、基于单片机控制的柴油机喷油泵数据采集系统的设计与实现 51、基于谐振技术及MK单片机的多路升压器研究设计 52、基于单片机的数据串口通信 53、基于单片机的智能寻迹系统设计 54、压电式阀门定位器与单片机实验装置研制 55、基于单片机的微型电子琴研究与实现 56、基于单片机的恒温恒湿孵化器系统设计 57、基于16位单片机MC9S12XS128的两轮自平衡智能车的系统研究与开发

基于MATLAB的频谱分析仪设计

基于MATLAB的信号频谱分析仪的实现 一、概述 信号处理几乎涉及到所有的工程技术领域,而频谱分析又是信号处理中一个非常重要的分析手段。一般的频谱分析都依靠传统频谱分析仪来完成,价格昂贵,体积庞大,不便于工程技术人员的携带。虚拟频谱分析仪改变了原有频谱分析仪的整体设计思路,用软件代替了硬件,使工程技术人员可以用一部笔记本电脑到现场就可轻松完成信号的采集、处理及频谱分析。 在工程领域中,MA TLAB是一种倍受程序开发人员青睐的语言,对于一些需要做大量数据运算处理的复杂应用以及某些复杂的频谱分析算法MA TLAB显得游刃有余。本文将重点介绍虚拟频谱分析仪、MA TLAB软件及对正弦信号的频谱分析。 1.1虚拟频谱分析仪的功能包括: (1) 音频信号信号输入。输入的途径包括从声卡输入、从WAV文件输入、从信号发生器输入; (2) 信号波形分析。包括幅值、频率、周期、相位的估计,并计算统计量的峰值、均值、均方值和方差等信息; (3) 信号频谱分析。频率、周期的估计,图形显示幅值谱、相位谱和功率谱等信息的曲线。 2.1MA TLAB软件

二、实验原理 2.1快速傅立叶变换(FFT) 在各种信号序列中,有限长序列占重要地位。对有限长序列可以利用离散傅立叶变换(DFT)进行分析。DFT不但可以很好的反映序列的频谱特性,而且易于用快速算法(FFT)在计算机上进行分析。 有限长序列的DFT是其z变换在单位圆上的等距离采样,或者说是序列傅立叶的等距离采样,因此可以用于序列的谱分析。FFT是DFT 的一种快速算法,它是对变换式进行一次次分解,使其成为若干小数据点的组合,从而减少运算量。 MATLAB为计算数据的离散快速傅立叶变换,提供了一系列丰富的数学函数,主要有Fft、Ifft、Fft2 、Ifft2, Fftn、ifftn和Fftshift、Ifftshift等。当所处理的数据的长度为2的幂次时,采用基-2算法进行计算,计算速度会显著增加。所以,要尽可能使所要处理的数据长度为2的幂次或者用添零的方式来添补数据使之成为2的幂次。 Fft函数调用方式:○1Y=fft(X); ○2Y=fft(X,N); ○3Y=fft(X,[],dim)或Y=fft(X,N,dim)。 函数Ifft的参数应用与函数Fft完全相同。 2.2周期图法功率谱分析原理 周期图法是把随机数列x(n)的N个观测数据视为能量有限的序列,直接计算x(n)的傅立叶变换,得X(k),然后再取幅值的平

基于单片机的简易逻辑分析仪毕业设计论文

基于单片机的简易逻辑分析仪 目录 第1节引言 (3) 1.1系统概述 (3) 1.1.1系统的特点 (4) 1.1.2系统的功能 (4) 第2节系统主要硬件电路设计 (5) 2.1 系统结构框图 (5) 2.2 主体控制模块 (5) 2.3 系统硬件的主体实现 (7) 2.3.1 数字信号发生器模块的电路设计与实现 (7) 2.3.2 主控系统模块的电路设计与实现 (8) 2.3.3 LED显示模块的电路设计与实现 (10) 2.3.4 硬件的抗干扰措施 (12) 第3节系统软件设计 (13) 3.1 系统软件流程 (13) 3.2 中断服务子程序 (15) 3.3 AT24C04程序设计 (15) 第4节结束语 (19) 参考文献 (20) 基于单片机的简易逻辑分析仪

第1节引言 信息时代是数字化的时代,数字技术的高速发展,出现了以高性能计算机为核心的数字通信、数字测量的数字系统。在研究这些数字系统产品的应用性能的同时也必须研究在设计、生产和维修他们的过程中,如何验证数字电路设计的合理性、如何协调硬件及其驱动应用软件的工作、如何测量其技术指标以及如何评价其性能。逻辑分析仪的出现,为解决这些问题提供了可能。 随着数字系统复杂程序的增加,尤其是微处理器的高速发展,用示波器测试己显得有些无能为力。1973年在美国应运而生的逻辑分析仪(Logic Analyzer),能满足数字域测试的各种要求。它属于总线分析仪一类的数据域测试仪器*主要用于查找总线(或多线)相关故障.同时对于数据有很强的选择能力和跟踪能力,因此,逻辑分析汉在数字系统的测试中获得了广泛的应用。 逻辑分析仪(Logic Analyzer)是以逻辑信号为分析对象的测量仪器。是一种数据域仪器,其作用相当于时域测量中的示波器。正如在模拟电路错误分析中需要示波器一样,在数字电路故障分析中也需要一种仪器,它适应了数字化技术的要求,是数字、逻辑电路、仪器、设备的设计、分析及故障诊断工作中不可按少的工具。在测试数字电路、研制和维修电子计算机、微处理器以及各种集成化数字仪表和装置中具有广泛的用途;还是数字系统设计、侦错、软件开发和仿真的必备仪器;作为硬件设计中必不可少的检测工具,还可将其引入实验教学中,建立直观感性的印象,提升学生的硬件设计能力,可以全面提高教学质量;随着科技的发展,LA在多通道、大存储量、高采样速率、多触发功能方面得到更快的发展,在航天、军事、通信等数字系统领域得到越来越广泛的应用。 我们从上面可以看出逻辑分析仪在各个领域的广泛应用。那么我们在学习、应用的同时设计并制作一个简易的逻辑分析仪就显的意义重大了,这样这个过程既可以让我们更加深入理解其原理,又可以提高动手设计并制作整个系统电路的能力,还可以将其作为简易仪器应用于以后的实验中。 1.1系统概述 因在本节中,我们将对简易逻辑分析仪的应用进行分析。给出它的特点,能实现的功能以及系统的简单操作 1.1.1 系统的特点 逻辑分析仪也称逻辑示波器,它是用来分析数字系统逻辑关系的一种仪器。逻辑分析仪的主要作用有二个:一是用于观察的形式显示出数字系统的运行情况,相当于扩展了人们的视野,起一个逻辑显示器的作用;二是对系统运行进行分析和故障诊断。

10频谱分析仪设计外文资料翻译

MATLAB的关键特性介绍 MATLAB 是一种应用于算法开发、数据显示、数据分析、数值计算方面的高级计算机语言和交互式开发环境。使用MATLAB软件,你能比例如C、C++, 或者Fortran更快的解决技术上的问题。 你能在很多领域使用MATLAB,例如信号或者图像处理、通讯、控制、测量、金融建模和生物学计算等。可以通过添加某些收集了特殊用途函数的工具箱来将MATLAB专门用于解决某一应用领域的问题。 MATLAB 为编排和分享你的功能提供了一系列的功能。你可以将MALAB 代码与其他语言整合,并且区别开算法与应用程序。 关键特性 (1)高级的计算语言。 (2)开发环境支持代码、文件、数据的管理。 (3)采用了为重复研究、设计和解决问题的交互式的工具。 (4)为线性代数、统计学、傅立叶分析、滤波器设计、最优化设计、数值综合等设计了相关的数学函数。 (5)为显示数据而准备了2-D 和3-D 图形功能。 (6)有个性化的用户接口工具。 (7)有外部语言(例如C, C++,Fortran, Java, COM,和Microsoft Excel)集成在Matlab中的函数。 开发算法和应用 MATLAB 提供了一个高级语言和开发工具,这些允许让你能迅速的开发和分析你的算法和应用。 MATLAB 语言 MATLAB 语言支持向量和矩阵,而这些是工程和特殊问题的基本。它允许快速的开发和执行。 有了MATLAB 语言,你可以比其他传统的语言在编写和开发算法方面更

加快速。因为你不再需要去执行一些低级的操作,例如定义变量、s制定数据类型和分配内存。在许多例子中,MATLAB 可以不用‘for’语句. 结果是一行MATLAB语句可以替代许多行的 C or C++ 代码. 同时,MATLAB 提供传统编程语言的所有特性,包括算法操作、流控制、数据结构、数据类型、面向对象(OOP)和调试特性。 MATLAB 允许你在执行一个命令或者一组命令时不去编译和链接,确保你能够迅速的重试而得到最优的解决方案。 为了能快速计算大型的矩阵和向量,MATLAB 使用了增强型处理器库。为了普通的标量计算,MATLAB 使用了即时编辑技术的机器码指令集。 这种在大多数平台上使用的技术提供了可以与传统的编程语言可以媲美的执行速度。 开发工具 MATLAB 包含的开发工具可以帮助你高效的实现你的算法。这些工具包括:: MATLAB Editor——提供标准的编辑和调试特点,例如设置断点和单步执行。 M-Lint Code Checker——分析你的代码和推荐的改变方法去改善它的性能和稳定性。 MATLAB Profiler——记录程序在每一行所花的时间。 Directory Reports——在一个文件夹中扫描所有的文件并且报告代码的效率、文件的差异、文件的依赖性和代码的覆盖等。 设计图形化的用户接口 你的可以使用交互式的工具GUIDE (图形化的用户接口开发环境) 去布置、设计和编辑用户接口。GUIDE 能为你提供列表框、下拉式菜单、按键、收音机式按钮、滚动条和MATLAB plots and ActiveX 控件. 或者,你也可以通过MATLAB 函数用程序的形式创建GUIs。 分析和访问数据

基于单片机的简易逻辑分析仪的毕业设计论文

基于单片机的简易逻辑分析仪毕业设计论文 目录 第1节引言 (3) 1.1系统概述 (3) 1.1.1系统的特点 (4) 1.1.2系统的功能 (4) 第2节系统主要硬件电路设计 (5) 2.1 系统结构框图 (5) 2.2 主体控制模块 (5) 2.3 系统硬件的主体实现 (7) 2.3.1 数字信号发生器模块的电路设计与实现 (7) 2.3.2 主控系统模块的电路设计与实现 (8) 2.3.3 LED显示模块的电路设计与实现 (10) 2.3.4 硬件的抗干扰措施 (12) 第3节系统软件设计 (13) 3.1 系统软件流程 (13) 3.2 中断服务子程序 (15) 3.3 AT24C04程序设计 (15) 第4节结束语 (19) 参考文献 (20)

基于单片机的简易逻辑分析仪 第1节引言 信息时代是数字化的时代,数字技术的高速发展,出现了以高性能计算机为核心的数字通信、数字测量的数字系统。在研究这些数字系统产品的应用性能的同时也必须研究在设计、生产和维修他们的过程中,如何验证数字电路设计的合理性、如何协调硬件及其驱动应用软件的工作、如何测量其技术指标以及如何评价其性能。逻辑分析仪的出现,为解决这些问题提供了可能。 随着数字系统复杂程序的增加,尤其是微处理器的高速发展,用示波器测试己显得有些无能为力。1973年在美国应运而生的逻辑分析仪(Logic Analyzer),能满足数字域测试的各种要求。它属于总线分析仪一类的数据域测试仪器*主要用于查找总线(或多线)相关故障.同时对于数据有很强的选择能力和跟踪能力,因此,逻辑分析汉在数字系统的测试中获得了广泛的应用。 逻辑分析仪(Logic Analyzer)是以逻辑信号为分析对象的测量仪器。是一种数据域仪器,其作用相当于时域测量中的示波器。正如在模拟电路错误分析中需要示波器一样,在数字电路故障分析中也需要一种仪器,它适应了数字化技术的要求,是数字、逻辑电路、仪器、设备的设计、分析及故障诊断工作中不可按少的工具。在测试数字电路、研制和维修电子计算机、微处理器以及各种集成化数字仪表和装置中具有广泛的用途;还是数字系统设计、侦错、软件开发和仿真的必备仪器;作为硬件设计中必不可少的检测工具,还可将其引入实验教学中,建立直观感性的印象,提升学生的硬件设计能力,可以全面提高教学质量;随着科技的发展,LA在多通道、大存储量、高采样速率、多触发功能方面得到更快的发展,在航天、军事、通信等数字系统领域得到越来越广泛的应用。 我们从上面可以看出逻辑分析仪在各个领域的广泛应用。那么我们在学习、应用的同时设计并制作一个简易的逻辑分析仪就显的意义重大了,这样这个过程既可以让我们更加深入理解其原理,又可以提高动手设计并制作整个系统电路的能力,还可以将其作为简易仪器应用于以后的实验中。 1.1系统概述 因在本节中,我们将对简易逻辑分析仪的应用进行分析。给出它的特点,能实现的功能以及系统的简单操作 1.1.1 系统的特点 逻辑分析仪也称逻辑示波器,它是用来分析数字系统逻辑关系的一种仪器。逻辑

简易逻辑分析仪

安阳工学院电子信息与电气工程学院 《EDA技术》课程大作业 题目:简易逻辑分析仪 班级: 2011级电子信息工程一班 评分标准: 1、题目难易度。 10% 2、设计和结论正确,分析清晰合理。 40% 3、大作业报告阐述清晰,格式规范。 20% 4、陈述清晰,回答问题正确。 30% 大作业成绩 总成绩=T+J*40%+(J+J*(X-1/N))*30% T为回答问题成绩,J为教师成绩,X为学生自评分值,N为小组人数

简易逻辑分析仪 1. 设计任务 逻辑分析仪可以将数字系统中的脉冲信号、逻辑控制信号、总线数据甚至毛刺脉冲都能同步高速的采集进该仪中的高速RAM中暂存,以备显示和分析。我们所设计的简易逻辑分析仪是一个8通道的逻辑数据采集电路,它可以将输入到RAM中的计数结果通过输出线完整地按地址输出出来,其中CLK(时钟信号),CLK_EN(时钟使能信号),CLR(清零端),WREN(写入允许控制)和DIN(7..0)(写入允许控制),OUTPUT(八位数据输出)。预期可以将输入到简易逻辑分析仪中的数据可以完整的读取出来。 2.设计方案 我们所设计的简易逻辑分析仪主要有三个功能模块构成:一个8位LMP_RAM0,存储1024个字节,有十根地址线;一个十位计数器LMP_COUNTER和一个锁存器74244。设计思路框图如下图一: 图一设计思路框图 3. 方案实施 3.1、LPM计数器模块的设计 首先打开一个原理图编辑窗,存盘取名为 eda1,然后建成工程,在进入本工程的原理图,单击Mega Wizard Plug-In Manger 管理器按钮,然后进入如图二所示的窗口,选择LPM-COUNTER模块,再选择CycloneⅢ和VHDL;文件名为CONT10B。

基于LabVIEW的频谱分析仪的设计--开题报告

XXXX大学学生开题报告表 课题名称基于LabVIEW的频谱分析仪的设计 课题来源实际课题类型 E 导师XXX 学生姓名XXX 学号XXX 专业电子信息工程开题报告内容:(调研资料的准备,设计目的、要求、思路与预期成果;任务完成的阶段内容及时间安排;完成设计(论文)所具备的条件因素等。) 1、调研资料的准备 在毕业设计前期,利用图书馆、互联网获取了LabVIEW软件及频谱分析仪的设计的相关资料;对于题目关键技术要点,通过向导师答疑以及与同组同学讨论的方式得到解决,从而确定了题目的技术方案;在后续的设计过程中,还将继续利用图书馆、互联网等途径获取与设计有关的知识,并加强与导师的沟通。 2、设计目的、要求 题目主要是利用LabVIEW软件设计出简单的频谱分析仪,根据频谱分析仪的原理确定其功能,结合LabVIEW软件平台的特点对仪器做出设计和软件编程,实现对信号的分析和研究。 整个系统由虚拟信号发生器模块、虚拟信号滤波器模块和频谱分析模块三部分组成。虚拟信号发生器模块能够产生正弦波、三角波、方波等标准信号,并且可以叠加各种干扰噪声;频谱分析模块主要对上述信号进行时域分析、频域分析和谐波分析等。 掌握基于LabVIEW编程的相关知识和信号的频谱分析方法,要求系统能够产生正弦波、三角波、方波等标准信号,可以叠加各种干扰噪声并对上述信号进行时域分析、频域分析和谐波分析等。完成15000字以上的毕业设计论文,并翻译3000汉字以上的相关英文资料。 3、设计思路与预期成果 根据频谱分析仪的原理确定分析幅度谱、相位谱、自功率谱、互功率谱功能,然后结合LabVIEW软件平台特点实施仪器系统的总体设计和软件编程,最后进行系统调试试验。 本设计采用的是数字处理式频谱分析原理。频谱分析仪是在虚拟示波器的基础上调用滤波函数、加窗函数、FFT函数得到信号频谱特性参数的仪器。实现方法如下:经过采样,将连续时间信号变为离散时间信号,接着利用LabVIEW强大的数字信号处理功能,对这组数据进行滤波、加窗、FFT运算处理,得到信号的幅度谱、相位谱以及功率谱。 在采样过程中,对不同的频率信号,选用合适的采样速率,以满足采样定理,从而防止

基于单片机的电子钟设计毕业论文。。

基于单片机的电子时钟设计 摘要 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于晶体震荡器,可能会导致误差。 数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24 小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定 时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键字:数字电子钟单片机 数字电子钟的背景 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。下面是单片机的主要发展趋势。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法

简易逻辑分析仪

简易逻辑分析仪 摘要 本系统基于逻辑分析仪原理,以AT89C系列单片机为核心,设计制作完成了简易逻辑分析仪。本系统主要由数字信号发生器模块、采集存储和示波器显示模块、人机交互模块三部分组成。基于题目要求,本系统对触发方式、信号采集存储、示波器显示波形和时间标志线、友好的人机界面等功能进行了重点设计。经测试,各项指标均满足基本部分和发挥部分的要求,并且有些指标超出题目要求。 关键字:逻辑分析仪;单片机;液晶 Abstract: Keywords: Signal Oscilloscope;MCU;LCM 1、 总体方案设计 1. 方案比较和选择 方案一:纯FPGA/CPLD(可带IP核)或FPGA/CPLD与单片机结合方式。即由FPGA/CPLD产生数字序列信号,判断单、三级触发信号,设定门限电压,采集、存储、显示被测信号;由IP核实现人机交互及信号测量分析等功能;或由单片机完成IP核实现的功能。此方案优点是速度快、精度高。缺点是软硬件复杂、调试困难、程序不易控制、性价比极低;而且体现不出本方案的优势。 方案二:纯单片机方式。即由多片单片机分别完成数字序列发生器,判断触发信号、数据采集、存储、显示,人机交互、门限电压设定

等功能。优点是操作方便、软件结构清晰、控制灵活、调试容易、性价比较高。本系统对速度的要求不是很高,所以单片机完全能够胜任。 2. 系统设计方案 本系统以三片单片机AT89C51为核心,将设计任务分解为数字信号发生器模块、采集存储和示波器显示模块、人机交互模块三部分。其中核心和关键部分是采集存储和示波器显示模块,另外两个模块起辅助作用。总体系统框图如图1所示。 图1 2、 电路设计与分析 1.可预置的8路数字信号发生器 本模块用于产生8路可预置的重复循环移位逻辑信号序列,输出信号为TTL电平,序列时钟频率为100Hz。输出数字信号如图2示例所示。

简易逻辑分析仪

简易逻辑分析仪 一、方案论证及选择 1、系统总体框图如下: 整个系统由信号发生器部分、信号调理部分、ARM软件控制部分以及输出显示部分组成。 2、数字信号发生器模块 方案一:采用555定时器和可预置移位寄存器。用两片74LS194A接成8位可预置循环移位寄存器,方波发生器提供一时钟信号给移位寄存器,预置数用8个按键接入(即循环序列),此方案简单可靠。 方案二:用PC 通过软件编程可以从并行口输出信号波形,不需要硬件电路,且设计灵活,但是不适合电子设计竞赛,并且PC体积大,携带不方便。 方案三:采用中规模FPGA,使用VHDL语言设计移位寄存器。此方案可以实现精确定时产生信号,且信号频率可调,体积小, 但其显示电路占用资源多,这样设计出来的电路系统将大且复杂。 方案四:采用一片AT89C2051单片产生波形序列。用单片机产生数字信号,设计简单,设置灵活,频率调节方便。 综合分析上述各方案,比较其优缺点,本系统有其固定的频率要求,故选用最简单的方案一。 3、门限电压分级部分 方案一、采用单片机软件控制分级输出不同的电压值,给到比较器的反相端。该方案简单、且输出电压精确。 方案二、用单片机产生一路PWM波,再经过两级RC低通滤波可得到直流电压,通过控制PWM波的占空比来改变电压值,达到分级效果。该方案RC滤波得到的电压不稳定,且有纹波。

方案三、直流电源供5V电压,采用电阻、电位器进行一级一级的分压,以实现分级效果。该方案电路复杂,且电位器调节比较难。 方案四、采用数字式电位器,由单片机结合相应的外围电路进行控制,以实现分压。采用程控方式,得到的电压精确且稳定。 鉴于本系统软件程序较多,ARM内部仅两个DA,故选择方案四以避免使用单片机内部DA。 二、硬件部分单元电路 1、数字信号发生器电路 该部分采用了555定时器产生一定频率的时钟信号,通过改变滑动变阻器阻值可实现频率在一定范围内可变,定时器后接一个非门以增强后级驱动能力。定时器产生的方波信号作为双向移位寄存器74LS194的输入时钟,利用74LS194的两个控制端(S0,S1)来产生八路可预置的循环移位逻辑信号序列。当按键SW2按下时,74LS194将按键的逻辑状态输入移位寄存器,送入移位寄存器的这组数值便在时钟的控制下循环移位。 2、信号调理部分 由移位寄存器产生的逻辑信号经过电压比较器LM339,与一可调门限电压进行比较,并输出TTL逻辑电平。这些电平信号输入到单片机与用户自己设置的触发状态字进行比较进而输出题目要求采集的信号。由于LM339输出的信号电平为5V,而单片机可承受的电压最大为3.3V,故需在LM339后进行光耦隔离以防止外部设备给过大的电流给单片机,同时也达到降压的效果。

关于单片机毕业设计论文文档

关于单片机毕业设计论文文档 On the graduation design thesis document of single chip microcomputer 编订:JinTai College

关于单片机毕业设计论文文档 前言:毕业论文是普通中等专业学校、高等专科学校、本科院校、高等教育自学考试本科及研究生学历专业教育学业的最后一个环节,为对本专业学生集中进行科学研究训练而要求学生在毕业前总结性独立作业、撰写的论文。本文档根据毕业论文内容要求和特点展开说明,具有实践指导意义,便于学习和使用,本文下载后内容可随意调整修改及打印。 单片机毕业设计论文如下文 第一章绪论1.1 课题的提出及意义 单片机作息时间控制实现了对时间控制的智能化,摆脱了传统由人来控制时间的长短的不便,实现代学校必不可少的设备。 1.2 设计的任务及要求 1.作息时间能控制电铃 2.作息时间能启动和关闭放 音机 单片机作息时间控制的功能如下:

使用4位七段显示器来显示现在的时间。 显示格式为“时分” 由led闪动来作秒计数表示 具有4个按键来作功能设置,可以设置现在的时间及显示定时设置时间 一旦时间到则发出一阵声响,同时继电器启动,可以控制放音机开启和关闭。 第二章总体方案设计2.1 芯片比较 2.1.1 单片机选型 当今单片机厂商琳琅满目,产品性能各异。常用的单片机有很多种:intel8051系列、motorola和m68hc系列、atmel的at89系列、台湾winbond(华邦)w78系列、荷兰pilips的pcf80c51系列、microchip公司的pic系列、zilog 的z86系列、atmel的at90s系列、韩国三星公司的ks57c系列4位单片机、台湾义隆的em-78系列等。我们最终选用了atmel公司的at89c52单片机。at89c52是美国atmel公司生产的低电压,高性能cmos8位单片机,片内含8kbytes的可反复擦写的只读程序存储器(perom)和256bytes的随机存取数

基于labview的信号频谱分析仪毕业设计论文

基于LABVIEW的信号频谱分析仪设计 摘要 随着科学技术的进步,对测量技术的要求越来越高。电子测量技术在各个领域得到越来越广泛的应用,传统的电子测量仪器由于其功能单一,体积庞大,已经很难满足实际工作的需要。集成电路和计算机技术的迅猛发展使电子测量仪器逐渐向数字化、智能化方向发展,与传统仪器相比表现为:功能更强、处理速度更快、频带更宽、用途更广、操作更简单、体积更小、可扩充性更好。微型计算机的普及程度和性能不断提高,使得基于PC平台的虚拟仪器系统应运而生。虚拟仪器可以充分利用计算机的运算、存储和显示功能,因而在降低仪器成本的同时使仪器的灵活性和数据处理能力大大提高,可以很好地满足学校科研和教学改革的需要。 本文论述了基于虚拟仪器概念的信号采集系统的实现方案,重点讨论了在数据传输、显示和处理中的关键技术。使用USB数据采集卡,最终实现了基于 PC 平台的,具有频率计和频谱分析仪功能的数字存储示波器系统。本文所选用的软件LabView 是美国 NI 公司的创新软件产品,也是日前应用最广泛、发展最快、功能最强的图形化软件开发环境。它具有开发周期短、运行速度快、可重用性、使用方便灵活等优点。因此LabView 对虚拟存储示波器的设计是一种最理想的方法。 关键词:虚拟仪器;数字存储示波器;谐波分析仪;LabView仪器驱动程序

THE DESIGN OF SIGNAL SPECTRUM ANALYSER BASED ON LABVIEW ABSTRACT With the advancement of science and technology, the development of measurement technique is getting more and more important. The application of electronic measurement technique has extended to more fields than ever. Due to limited functions and big size, traditional electronic measurement equipment is no longer suited for common purposes. Thanks to the rapid development of integrated circuit and computer technology, measurement instruments are becoming digitized and https://www.doczj.com/doc/7014614850.html,pared with traditional equipment, the new instruments have more functions, higher processing speed, wider bandwidth, friendlier interface,smaller size and better expandability. The prevalence and rocketing development of personal computers give birth to a new kind of instrument, Virtual Instrument (VI). VI is based on PC platform, and can make use of the software and hardware resources of a PC. Compared with its ability of data processing and flexibility, VI has a low price, which means it is a good choice for research and teaching reform inuniversities. This dissertation discusses the implementation of a signal acquisition system,based on the concept of VI and focuses on key techniques in data transmission, display and processing. With a USB data acquisition card connectedto PC, a digital storage oscillograph (DSO) with the function of cymometer and spectrum analyzer is https://www.doczj.com/doc/7014614850.html,bVIEW is the innovate software of national instruments corps, of America.lt is also the most widely used、the most quickly developing and the strongest function gragh software.lt has short epolder and fast run-rate.So LabView

相关主题
文本预览
相关文档 最新文档