当前位置:文档之家› 数字电子技术第六章

数字电子技术第六章

数字电子技术第六章
数字电子技术第六章

习 题

一、填空题

1. 寄存器可以分为基本寄存器和移位寄存器两种。

2. 74LS161是具有同步置数、异步清零、保持和计数4种功能。

3. 时序逻辑电路输出信号的特点可以分为Mealy 型和Moore 型。

4. 计数器按内部触发器是否同时翻转可以分为同步计数器和异步计数器。

5. 计数器按计数增减趋势不同 可以分为加法计数器、减法计数器和可逆计数器。

6. 用n 个D 触发器构成的环形计数器,其有效循环中状态数为n 个。

7. 用n 个D 触发器构成的扭环形计数器,其有效循环中状态数为2n 个。

8. 用4位二进制加法计数器计数,最多可以计15个脉冲信号。

9. 要使8位代码全部串行移入8位寄存器中,需要串行输入8个移位脉冲。 10. 4位同步并行输入寄存器输入一个新的4位数据需要1个移位脉冲信号。

二、分析与设计题

1. 分析题图6.1所示时序逻辑电路的逻辑功能,要求写出电路的驱动方程、状态方程和输出方程,画出状态转换图,说明能否自启动。

Y

题图6.1

解:该电路为同步时序逻辑电路,驱动方程为n

n

n n

n Q K Q Q J Q K J Q K J 332

13122

311'

======

特性方程为n n 1

Q K''JQ +=+n Q

状态方程为'

Q Q ''Q Q 'K 'Q J Q ''Q Q 'K 'Q J Q 'Q 'Q 'K 'Q J n

321n 33n 321n 33n 3313n

2

1n 21n 22n 221

2

n

1

3n 13n 11n 1111n n n n n n n n n n n n Q Q Q Q Q Q Q Q Q Q Q Q =+=+=+=+=+=+=+++

输出方程为n Q Y

3=

状态转换表为

画出状态转换图如图

能自启动。

2. 分析题图6.2所示时序逻辑电路的逻辑功能,要求写出电路的驱动方程、状态方程和输出方程,画出状态转换图,说明能否自启动。

3

题图6.2

解:该电路为异步时序逻辑电路,驱动方程为1

11

3322

11======K J K J K J

特性方程为n n 1

Q K''JQ +=+n Q

,三个触发器均为下降沿触发的器件,实现翻转功能

状态方程为'

Q 'Q '

Q n 313n 21

2

n

111===+++n n n Q Q Q

由于该电路无输出端,故无输出方程

画出状态转换图如图

321

Q Q Q /Y

能自启动。

3. 画出题图6.3所示时序逻辑电路的状态转换表和状态转换图,并分别说明A =0和A =1时电路的逻辑功能。

题图6.3

解:该电路时同步时序逻辑电路,下降沿触发。驱动方程为

n

n

n

Q

K AQ

J AQ K A J 1

21

2211)'

'(====

特性方程为n n 1Q K''JQ +=+n Q 状态方程为

n 2

n 1n 2n 1n 22n 2212

2n 1n 12n 1n 11n 1111Q

'Q 'Q Q Q 'K 'Q J '

'Q Q ''Q Q 'K 'Q J +=+=+=+=+=++A Q

AQ A AQ A Q n n

n n

状态转换表为

画出状态转换图如图

A=1

A=0时是不能自启动的1进制计数器;A=1时是能自启动的三进制计数器。

4. 分析题图6.4所示的计数器在X=0和X=1时分别为几进制计数器。

1

题图6.4

解:该计数器利用置数法实现N进制。当X=0时,DCBA为0010,当计数到1010时符合置数条件,将同步置数为0010,所以计数范围为0010-1010,为9进制计数器。

当X=1时,DCBA为1000,当计数到1010时符合置数条件,将同步置数为1000,所以计数范围为1000-1010,为3进制计数器。

5. 分析题图

6.5所示的计数器为几进制计数器。

解:由于CP2与Q1相连,同时计数脉冲从从CP1输入、从Q D输出。则得到十进制计

数器。Q

4

Q3Q2Q1从0000开始计数时,此时0102

R R?=0且

9192

S S?=0时,计数器在输入计数脉冲

的下降沿进行计数。当计数到1000时,即

0102

R R?=1且

9192

S S?=0时,计数器被异步置0。所以计数范围为0000-0111,为8进制计数器。

6. 用双向移位寄存器74LS194和必要的门电路构成十二进制加法计数器。

解:M=12时,n=6,用两片74LS194构成妞环形计数器实现。

S

1

7. 用JK触发器和必要的门电路构成同步十一进制加法计数器。

1Q 2Q 3Q 4

Q

题图6.5

解:因为十一进制计数器必须有11个不同的电路状态,所以需要用4个触发器组成。可画出电路状态Q 4Q 3Q 2Q 1的状态图和对应的卡诺图。输出为C 。

/C

4321

Q Q Q Q

画出卡诺图如图。

43

n n

Q Q 21

n n Q Q 00011110

00010001/00010/00100/00011/00101/00110/00111/01000/011101001/01010/0××××/×××××/×××××/×××××/×××××/×0000/1

的卡诺图

C Q Q Q Q n /1234+

43

n n

Q Q 21

n n

Q Q 0001111000010

00000011110

1

1

×××××

的卡诺图

1

4+n Q

000111100001001011101110

×××××

4

3

n

n Q Q 21

n n

Q Q 的卡诺图

13+n Q

43

n n Q Q 21n n

Q Q 000111100001010101101110

1

×××××

的卡诺图

12+n Q

43

n n Q Q

21

n n Q Q 0001111000011

00110101110

1

×××××

的卡诺图

11+n Q

43

n n

Q Q 21

n n

Q Q 0001111000010

00000001110

×××××

1

的卡诺图

C

从卡诺图写出电路的状态方程得到

n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n Q C Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q 2

n 4114n 2141n 211214n 211241n 212n 3123121231n 32n 313n

42n 4123n 41232n 4n 4n 41232n 41232n 414Q 0')''Q (''''Q '''Q '''Q )Q ''('''Q 'Q Q ''Q 'Q 'Q )'Q Q ('Q 'Q =++=+=+=+=++=++=+=+=++=+=++++将上式与JK 触发器的特性表达式n n n Q K JQ Q

''1

+=+对照,即可得到驱动方程为

n n

n n n

n

n n n n

n n n Q C K Q J Q Q K Q J Q Q K Q Q J Q K Q Q Q J 2

n 414n 21142121231

23241234Q 1

''Q ==+=+======

根据驱动方程画出电路图略。

将无效状态带入状态方程计算,说明电路能自启动

8. 用T 触发器和必要的门电路构成十三进制减法计数器。

提示:先利用T 触发器构成十六进制减法计数器,然后再用反馈清零法或置数法构成十三进制计数器。具体求解过程不再给出。

9. 试分别用74LS161的异步清零和同步置数功能构成十进制计数器。 解:异步清零和同步置数功能构成十进制计数器如图

A B C D

A B C D

10. 试用74LS194设计一个5位环形计数器,要求电路能够自启动。 答案略

11. 用两片74LS290构成二十四进制计数器。

解:CP1输入计数脉冲为5进制计数器,利用图示连法,器件计数到24时实现异步清零,所以技术范围为0-23,为24进制计数器。

54321

12. 试用两片74LS160和必要的门电路构成三十一进制的加法计数器。

解:由于31是一个不能分解的素数,所以必须采用整体置数或整体置零的连接方式。若采用整体置数法,则应先将两片按同步连接方式接成10×10=100进制计数器,然后用电路计为30的状态译出LD’=0信号,如图所示,这样在电路从零状态开始计数,计入31个脉冲后将返回全零状态,形成三十一进制计数器。

13. 用同步十进制计数器74LS160和8选1数据选择器74LS151设计一个计数器型序列信号发生器,产生周期性序列信号010*******。

解:选用74160,可列出在CLK连续作用下计数器状态Q3Q2Q1Q0与要求产生的输出Z 之间关系的真值表,如表所示。

选用8选1数据选择器,则它的输出逻辑式可写为

)

()()()

()()()()(0127'0

1260'

125'

0'12401'23'01'220'1'21'0'1'20A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D Y +++++++=

由真值表写出Z 的表达式,并化成与上式对应的形式则得到

)

().(0)()

().(0).(0).(1).(0012'3

'0120'12'3'0'12'301'2'01'20'1'2'0'1'2Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Z +++++++=

令1,,0,,,1'

37546320001122===========D Q D D D D D D D Q A Q A Q A ,则

数据选择器的输出Y 即所求之Z 。所得到的电路如图所示。

Z

14. 设计一个串行数据检测电路,当连续出现3个和3

个以上的1时,电路输出1,其余情况下电路输出0。

解:(1

)逻辑抽象,建立原始状态图

检测电路的输入信号是串行数据,输出信号是检测结果,从起始状态出发,要记录连续输入3个和3个以上1时的情况,大体上应设置4个内部状态,即取M=4。

现在用X 和Y 分别表示输入数据和输出信号,用S 0表示起始状态,用S 1、S 2、S 3表示连续输入1个1、2个1、3个1和3个以上1时的电路的状态。

根据题意,可建立起如图所示的原始状态图。起始状态为S 0,输入第一个1输出为0,状态转换到S 1,连续再输入一个1输出为0,状态转换到S 2,连续输入第三个1输出为1,状态转换到S 3,此后只要连续不断地输入1,输出应该总是1,电路也应保持S 3状态不变。不难理解,电路无论处在什么状态,只要输入为0,都应回到S 0,以便重新进行检测。

0/0

1/1

(2)进行状态换件,画最简状态图 ①确定等价状态

仔细观察可以发现,S 2和S 3是等价的。因为无论是在状态S 2还是S 3,当输入为1时输出均为1,且都转换到次态S 3;当输入为0时输出均为0,且都转换到次态S 0。

②合并等价状态

把S 2和S 3合并起来,且用S 2表示。图所示是经过化简后得到的最简状态图。

S 1

S

2

0/0

(3)进行状态分配,画出用二进制数编码后的状态图 ①因状态数M=3,应取n=2。

②进行状态编码,取S 0=00,S 1=01,S 2=11 ③画编码后的状态图,如图所示

01

11

0/0

(4)选择触发器,求时钟方程、输出方程和状态方程 ①采用两个上升沿的边沿JK 触发器。 ②采用同步方案,即取CP 1=CP 0=CP

③求输出方程,画出输出信号Y 的卡诺图,得到输出n XQ Y 1=

0001111001

0000

1

的卡诺图

Y ××

X n

n Q Q 01

0001111001

00000001

11

11

电路次态的卡诺图××××

X n

n Q Q 01

0001111001

0000

1

1

的卡诺图

1Q X n

n Q Q 01×

×

0001111001

0001

1

1

X n

n Q Q 01××

的卡诺图

10+n Q

④求状态方程,画出卡诺图,得到

X

Q

XQ Q n n n ==++1

011

(5)求驱动方程 JK 触发器的特性方程为n n 1

Q K''JQ +=+n Q

① 变换状态方程,使之形式与特性方程相同

n

n

n n n n n n n

n n n n n n n n n n n n n Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q 00001

11010101010101101

1

X 'X )'(X X 'X 'X 'X X 'X X )'(X +=+=+=++=+=+=++

② 与特性方程做比较,可得

得X X X 'X ''10

111011111

1

==+==+=+K Q J Q Q Q Q K Q J Q n

n

n n n n n

'

X X

X 'X ''000000001

==+=+=+K J Q Q Q K Q J Q n

n n n n 得因

(6)画逻辑电路图

根据所选用的触发器和时钟方程、输出方程、驱动方程,便可以画出如图所示逻辑电路图

(7)检查所设计的电路能否自启动

将电路无效状态10代入输出方程和状态方程式进行计算,结果如下:

10

0/0

1/1

可见,设计的电路能够自启动。

15. 设计一个可控同步计数器,A 、B 为控制信号,当AB =00时,计数器维持原状;当AB =01时,计数器为二进制计数器;AB =10时,计数器为四进制计数器;AB =11时,计数器为八进制计数器。

答案略。

16. 用D 触发器和必要的门电路设计一个异步十一进制计数器。 答案略。

17. 设计一个字长为5位的串行奇偶校验电路,每当接收到的5位码是偶数个1时,在最后一个校验位时刻输出1。

解:设计电路如图。

5

18. 设计一个序列信号发生器,使之能产生周期性序列信号1001011011。

解:选用74160,可列出在CLK 连续作用下计数器状态Q 3Q 2Q 1Q 0与要求产生的输出Z

选用8选1数据选择器,则它的输出逻辑式可写为

)

()()()

()()()()(0127'0

1260'

125'

0'12401'23'01'220'1'21'0'1'20A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D Y +++++++=

由真值表写出Z 的表达式,并化成与上式对应的形式则得到

)

.(0)()()

.(0).().(0).().(1012'0

12'30'12'3'0'1201'2'3'01'20'1'23'0'1'2Q Q Q Q Q Q Q Q Q Q Q Q Q Q

Q Q Q Q Q Q Q Q Q Q Q Q Q Q Z +++++++=

31'

36537420001122,,0,1,,,Q D Q D D D D D D D Q A Q A Q A ===========,

则数据选择器的输出Y 即所求之Z 。所得到的电路如图所示。

Z

19. 设计一个移存型序列信号发生器,使之能产生周期性序列信号11110000。 解:(1)序列长度为8,若用3级触发器,则出现重复状态111,000,故用4级触发器,起状态分配为1111 → 1110 → 1100 → 1000 → 0000 → 0001 → 0011 → 0111。由此列出状态转换表及卡诺图中如图。

43

n n Q Q 21

n n

Q Q 0001111000011111110

的卡诺图

11+n Q ×

1

0000

××××××

×

由于状态转移符合移存规律,结合上图的卡诺图,得出各级触发器的状态转移方程为

n

2

n 4n 3n 411n 1

12

n 213n 3

14Q 'Q 'Q 'Q Q

Q Q +====++++n n n n Q Q

Q Q

卡诺图中本来可以将8个小方格合并画圈,但这样电路就不具有自启动特性。

画出实现序列信号的逻辑电路如图所示。

CLK

完整的状态转移如图所示。

20. 设计一个可控进制计数器,当控制输入量X =1时,计数器为五进制计数器,当X=0

时,计数器为八进制计数器。要求指出计数输入端和进位输出端。

解:采用同步置数法结成的可控进制计数器。因为每次置数时置入的是DCBA=0000,所以X=1时应从Q D Q C Q B Q A =0100(四)状态译出LD ’=0信号;而在X=0时应从Q D Q C Q B Q A =0111(七)状态译出LD

’=0信号。

具体接法如图

A B C D

21. 题图6.6是由二-十进制优先编码器74LS147和同步十进制计数器74LS160组成的可控分频器。试说明当输入控制信号a、b、c、d、e、f、g、h、i每个为低电平时,由Y端输出的脉冲频率各是多少,假定CLK的频率为100 kHz。

b

c

d

e

f

g

h

i

题图6.6

解:74ls147为10-4线有限编码器,i具有最高有限级别,输出为低电平有效,然后再求反进入4位2进制计数器74ls161,在74ls161处实现同步置数。所以Y的具体输出频率如下图,其中可以直接两个器件的DCBA实际取值一样。

22. 用JK触发器设计一个格雷码十进制计数器(计数状态按格雷码顺序循环变化)。

解:格雷码变化顺序如下表所示

输入

D C B A

0 0 0 0 0 0 0 1 0 0 1 1 0 0 1 0 0 1 1 0 0 1 1 1 0 1 0 1 0 1 0 0 1 1 0 0 1 1 0 1

所以可以得到转换的卡诺图为

4

3

n n Q Q

21

n n Q Q 00011110

00010001/00011/00010/00110/01100/00100/00111/00101/011100000/1××××/×××××/×的卡诺图

C Q Q Q Q n n n n /1

1121314++++1101/0××××/×××××/×××××/×××××/×

43

n n

Q Q 21

n n

Q Q 0001111000010

00010001110

0×的卡诺图

1

4+n Q 1××

×

×

×

43

n n

Q Q 21

n n

Q Q 0001111000010

00111111110

0的卡诺图

13+n Q 1×××

×

×

×

43

n n

Q Q 21

n n

Q Q 0001111000010

11100101110

0的卡诺图

1

2+n Q 0×××

×

×

×

43

n n

Q Q 21

n n

Q Q 0001111000011

10000111110

0的卡诺图

11+n Q 1×××

×

×

×

43

n n

Q Q 21

n n

Q Q 0001111000010

00000001110

1的卡诺图

C 0×××

×

×

×

根据卡诺图得出表达式,

n 1

n 4123231n 4232331231n 41231231n 41231231231231n 4112311231n 42323112

13121321312213213213122213121312123

121n 43123n 4312312133n 43312133n 412134

12341234412312314Q Q )''(')Q ''(''Q '''''Q '''''''

Q )'()'('''Q '')''('''''''''')'('''')'''Q ('''Q '''''Q )'('''Q '''''')'(''''=++++=++++=++++=++++=++=++=++=++=++=+=+++=+++=+++=++=+=+==++++C Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n 将上式与JK 触发器的特性表达式n n n Q K JQ Q

''1

+=+对照,即可得到驱动方程为

n

n

n n n n

n n n n n n n

n n n n n n n n

n n n n Q C Q Q Q Q K Q Q Q Q J Q Q Q K Q Q J Q Q Q K Q Q J Q Q Q K Q Q Q J 1

n 423231n 4

232311312132121n 4312312341234Q )'

''(Q '')'

''(')'

'''Q ('

)'''(''=+=++=+==++====

根据驱动方程和输出方程画出电路图略。

23. 设计一个长度为7的最长线性序列发生器,要求电路能自启动,设电路初态为0。 解:要产生M=7的m 序列码,首先根据M=2n -1,确定n=3,再查表可得反馈函数F=Q 1

⊕Q 3。

但由于电路处于全0状态时,F=0,故采用此方法设计的m 序列发生器不具有自启动特性。为了使电路具有自启动可以采取方法为:在反馈方程中加全0校正项Q 1’Q 2’Q 3’,

)'321('''3132131Q Q Q Q Q Q Q Q Q Q F +++⊕=+⊕=,其逻辑电路如图所示。

24. 用VHDL 语言实现74LS194的逻辑功能。 答案略

25. 用VHDL 语言实现74LS161的逻辑功能。 答案略

数字电子技术基础第三版第三章答案

第三章组合逻辑电路 第一节重点与难点 一、重点: 1.组合电路的基本概念 组合电路的信号特点、电路结构特点以及逻辑功能特点。 2.组合电路的分析与设计 组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。 组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成电路SSI,设计方法比较规范且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计电路由门电路组成,所以使用门的数量较多,集成度低。 若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。 无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题,即将文字描述的要求变成一个逻辑函数表达式。 3.常用中规模集成电路的应用 常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是理解外部引脚功能,能在电路设计时灵活应用。 4.竞争冒险现象 竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。 二、难点: 1.组合电路设计 无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,得到明确的真值表,这一步既是重点又是难点。总结解决这一难点的方法如下: (1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称。 (2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态0、1,这两个状态代表的含义由设计者自己定义。 (3)再根据设计问题的因果关系以及变量定义,列出真值表。 2.常用组合电路模块的灵活应用 同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会。 3.硬件描述语言VHDL的应用 VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。 三、考核题型与考核重点 1.概念与简答 题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为3~6分。 2.综合分析与设计

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

数字电子技术基础第三版第二章答案

第二章逻辑门电路 第一节重点与难点 一、重点: 1.TTL与非门外特性 (1)电压传输特性及输入噪声容限:由电压传输特性曲线可以得出与非门的输出信号随输入信号的变化情况,同时还可以得出反映与非门抗干扰能力的参数U on、U off、U NH和U NL。开门电平U ON是保证输出电平为最高低电平时输入高电平的最小值。关门电平U OFF是保证输出电平为最小高电平时,所允许的输入低电平的最大值。 (2)输入特性:描述与非门对信号源的负载效应。根据输入端电平的高低,与非门呈现出不同的负载效应,当输入端为低电平U IL时,与非门对信号源是灌电流负载,输入低电平电流I IL通常为1~。当输入端为高电平U IH时,与非门对信号源呈现拉电流负载,输入高电平电流I IH通常小于50μA。 (3)输入负载特性:实际应用中,往往遇到在与非门输入端与地或信号源之间接入电阻的情况,电阻的取值不同,将影响相应输入端的电平取值。当R≤关门电阻R OFF时,相应的输入端相当于输入低电平;当R≥?开门电阻R ON时,相应的输入端相当于输入高电平。 2.其它类型的TTL门电路 (1)集电极开路与非门(OC门) 多个TTL与非门输出端不能直接并联使用,实现线与功能。而集电极开路与非门(OC 门)输出端可以直接相连,实现线与的功能,它与普通的TTL与非门的差别在于用外接电阻代替复合管。 (2)三态门TSL 三态门即保持推拉式输出级的优点,又能实现线与功能。它的输出除了具有一般与非门的两种状态外,还具有高输出阻抗的第三个状态,称为高阻态,又称禁止态。处于何种状态由使能端控制。 3.CMOS逻辑门电路 CMOS反相器和CMOS传输门是CMOS逻辑门电路的最基本单元电路,由此可以构成各种CMOS逻辑电路。当CMOS反相器处于稳态时,无论输出高电平还是低电平,两管中总有一管导通,一管截止,电源仅向反相器提供nA级电流,功耗非常小。CMOS器件门限电平U TH近似等于1/2U DD,可获得最大限度的输入端噪声容限U NH和U NL=1/2U DD。 二、难点: 1.根据TTL与非门特性,正确分析和设计电路; 2.ECL门电路的逻辑功能分析; 3.CMOS电路的分析与设计; 4.正确使用逻辑门。 三、考核题型与考核重点 1.概念 题型为填空、判断和选择。

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

电子技术基础数字部分期末试卷

电子技术基础数字部分期末试卷 姓名 学号 成绩 一、填空题(每空1分,共15分) 1、组合电路没有 记忆 功能,因此,它是由 门电路 组成。 2、将BCD 码翻译成十个对应输出信号的电路称为二十进制译码器,它有 4 个 输入端, 10 输出端。 3、 下图所示电路中,()C B A Y 1⊕=;B A Y 2+=;=+=? +?=B A B A AB A AB B Y 3。 4、二进制数A=1011010;B=10111,则A-B=n Q R S +(1000011)20RS =。 5、判断图1.5电路, b 是组合电路的框图, a 是时序电路的框图。从中可以看 出,时序电路与组合电路相比,在电路结构上的特点是 时序电路有记忆元件,由存储电路构成,组合电路无记忆元件,由门电路构成。 6、一个逻辑函数除了用函数式、真值表和逻辑图之外,还有二种表示方法,它们是 卡诺 图, 波形图。 二、选择题(每题3分,共15分) A 1 B Y 2 A B C Y 1 A B Y 3

1、以下式子中不正确的是( C ) a .1?A =A b .A +A=A c .B A B A +=+ d .1+A =1 2、下列说法不正确的是( C ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 3、电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“011”,请问时钟作用下,触发器下一状态为( B ) a .“110” b .“100” c .“010” d .“000” 4、以下错误的是( B ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 5、下列描述不正确的是( B ) a .EEPROM 具有数据长期保存的功能且比EPROM 使用方便 b .集成二—十进制计数器和集成二进制计数器均可方便扩展。 c .将移位寄存器首尾相连可构成环形计数器 d .上面描述至少有一个不正确 三、证明或化简下列函数(每小题5分,共15分) 1、证明 C AC C AB C B A =++ 证明:

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

最新数字电子技术第三章(组合逻辑电路)作业及答案

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)( 2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ A B S 1 S =1 =1 & =1

(2)2Y A C B =+() (3)3Y ABC B EF G =++() & & 1 ≥Y1. 1 A B C . & 1 ≥Y2 . 1 A B C & 1 ≥1 ≥& & 1 A B C . E F G .Y3 . . . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

5、试用逻辑门电路设计一个2选1数据选择器,输入信号为A、B,选择信号为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路,可以按照需求启动发电动机以达到节电的目的。

万里学院数字电子技术基础第七章习题及参考答案

第七章习题 一、选择题 1.集成D/A 转换器DAC0832含有 个寄存器。 A.1 B.2 C.3 D.4 2.一个无符号8位数字量输入的DAC ,其分辨率为 位。 A.1 B.3 C.4 D.8 3.一个无符号10位数字输入的DAC ,其输出电平的级数为 。 A.4 B.10 C.1024 D.210 4.一个无符号4位权电阻DAC ,最低位处的电阻为40K Ω,则最高位处电阻为 。 A.4K Ω B.5K Ω C.10K Ω D.20K Ω 5.4位倒T 型电阻网络DAC 的电阻网络的电阻取值有 种。 A.1 B.2 C.4 D.8 6.为使采样输出信号不失真地代表输入模拟信号,采样频率f s 和输入模拟信号的最高频率 f ax Im 的关系是 。 A. f s ≥f ax Im B. f s ≤f ax Im C. f s ≥2f ax Im D. f s ≤2f ax Im 7.将一个时间上连续变化的模拟量转换为时间上断续(离散)的模拟量的过程称为 。 A.采样 B.量化 C.保持 D.编码 8.用二进制码表示指定离散电平的过程称为 。 A.采样 B.量化 C.保持 D.编码 9.将幅值上、时间上离散的阶梯电平统一归并到最邻近的指定电平的过程称为 。 A.采样 B.量化 C.保持 D.编码 10.若某ADC 取量化单位△=81REF V ,并规定对于输入电压I u ,在0≤I u <8 1REF V 时,认为输入的模拟电压为0V ,输出的二进制数为000,则 85REF V ≤I u <86REF V 时,输出的二进制数为 。 A.001 B.101 C.110 D.111 11.以下四种转换器, 是A/D 转换器且转换速度最高。 A.并联比较型 B.逐次逼近型 C.双积分型 D.施密特触发器 二、判断题(正确打√,错误的打×) 1.D/A 转换器的建立时间是反映转换速度的一个参数。( )

《电子技术基础数字部分》总复习

《电子技术基础-数字部分》 一、基础知识 1、代数逻辑 进制与码 1)二进制(B)八进制(O) 十进制(D) 十六进制(H) 2) BCD码 公式定理 反演规则(必考) 1)与、或互换 2)0、1互换

3) 原变量、反变量互换 不属于单个变量上的非号要保留不变 对偶规则(必考) a. 与、或互换 b. 0、1互换 代数化简(大题) 并项法:A+ā=1 吸收法:A+AB=A 消去法:A+AB=A+B 卡诺图化简(大题) 写出最小项表达式 填卡诺图 合并最小项 将包围圈相加 2、逻辑门 1) OC 门---TTL (集电极开路门) 指TTL 门电路输出级BJT 管的集电极是开路的 OC 门必须外接负载电阻和电源才能正常工作 OD 门(漏极开路门):指CMOS 门输出电路只有NMOS 管,并且漏极是开路的 与OD 门相比可以承受较高的电压和较大的电流 2) 三态门---TSL 输出除了输出高、低电平外,还具有高输出阻抗的第三状态, 称为高阻态,又称为禁止态 3) CMOS 传输门 ①既可以传输数字信号,又可以传输模拟信号 ②传输门的输入和输出可以互换 OC 门 三态门 传输门

扇入数=输入端的个数,3输入,则Ni=3 2)扇出 扇出No ——驱动同类门的个数(有两种情况): ①拉电流 ②灌电流 如果N OL ≠N OH ,则No取二者中的最小值 二、组合电路 1、分析(大题) ①由给定的逻辑图写出逻辑关系表达式 ②对表达式进行化简 ③列出真值表 ④由真值表总结出逻辑功能 2、设计(大题) ①电路功能描述 ②真值表(关于A、B、Y等要有文字说明) ③逻辑表达式或卡诺图 ④最简与或表达式 ⑤逻辑变换(例如,变换为用与非门实现) ⑥逻辑电路图 3、集成模块运用(大题) 1)编码器(CD4532) 编码:把二进制码按一定规律编排,为每组代码赋予特定的含义 CD4532:8线-3线优先编码器 功能表:

数字电子技术讲义 第三章 组合逻辑电路

第三章 组合逻辑电路 根据组合逻辑电路的不同特点,数字电路分成:组合逻辑电路(组合电路) 时序逻辑电路(时序电路) 组合逻辑电路的特点:任意时刻的输出仅仅取决于该时刻的输入,与电路原来状态无关。 ()n i i A A A f F Λ21,=(i =1,2,…m ) 3.1组合逻辑电路的分析 组合逻辑电路的分析方法:1)由逻辑图写出各输出端的逻辑表达式 2)化简和变换各逻辑表达式 3)列真值表 4)分析确定功能 例: C B A L ⊕⊕= 3.1.1 分析加法器 半加器真值表 (1)1位加法器 1)半加器 不考虑由低位进位来的加法器 B A A S ⊕== A B B

AB Co = 2)全加器 考虑低位进位的加法器 CI B A CI AB CI B A BCI A S +++= 全加器真值表 CI B B A CI A CO ++= S “奇数个1时,S 为1”CI “两个以上1时,CI 为1” A (2)多位加法器 1、并行相加串行进位的加法器 例如:四位二进制数A 3 A 2A 1A 0和B 3 B 3 B 3 B 3相加 CI CO Σ CI CO Σ CI CO Σ CI CO Σ CO S 1 S 0 S 2 S 3 A 0B 0 A 1 B 1 A 2 B 2 A 3 B 3 每位进位信号作为高位的输入信号――串行进位 故任一位的加法运算必须在低一位的运算完成后才能进行――速度慢 2、超前进位 00011011 0 1 A B CI 01011 1 00011011 0 1 A B CI 00100 1 1 1

每位的进位只由加数和被加数决定,而与低位的进位无关。 1-⊕⊕=i i i i C B A S ()1-⊕+=i i i i i i C B A B A C 3.1.2 分析数据选择器 数据分配器:将公共数据线上的信号送往不同的通道 数据选择器:将不同通道的信号送往公共数据线 74LS153为例:通过给定不同的地址代码,即可从4个输入数据中选出所要得输出 函数式:()()()()[] 01130112011101101A A D A A D A A D A A D Y +++= 总结:1、数据选择器可将多通道输入的数据有选择的传送到输出端 2、数据选择器还可作为一般的逻辑函数产生器,一个2n 选一的数据选择器可以产生n 或少于n 个输入变量的逻辑函数 3、构成逻辑函数产生器的关键是确定常量输入端的逻辑值。可由导出的最小项或真值表获得。 3.1.3 分析多路分配器 D A A D 010= D A A D 011= D A A D 012= A A D 013= A A D 3.1.4 分析数值比较器 (1)1位数值比较器 两个数AB 比较(A >B ,A

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电子技术基础第四版课后答案7

第七章半导体存储器 [题] 存储器和寄存器在电路结构和工作原理上有何不同 [解] 参见第节。 [题] 动态存储器和静态存储器在电路结构和读/写操作上有何不同 [解] 参见第7.3.1节和第节。 [题] 某台计算机的内存储器设置有32位的地址线,16位并行数据输入/输出端,试计算它的最大存储量是多少 [解] 最大存储量为232×16=210×210×210×26=1K×1K×1K×26=64G [题] 试用4片2114(1024×4位的RAM)和3线-8线译码器74LS138(见图3.3.8)组成4096×4位的RAM。 [解] 见图。 [题] 试用16片2114(1024×4位的RAM)和3线-8线译码器74LS138(见图3.3.8)接成一个8K×8位的RAM。 [解] 见图。

[题] 已知ROM的数据表如表所示,若将地址输入A3A2A1A0作为4个输入逻辑变量,将数据输出D3D2D1D0作为函数输出,试写出输出与输入间的逻辑函数式。 [ 解] D3= 1 2 3 1 2 3 1 2 3 1 2 3 A A A A A A A A A A A A A A A A+ + + D2=0 1 2 3 1 2 3 1 2 3 1 2 3 1 2 3 A A A A A A A A A A A A A A A A A A A A+ + + + +0 1 2 3 A A A A D1=0 1 2 3 1 2 3 1 2 3 1 2 3 A A A A A A A A A A A A A A A A+ + + D0=0 1 2 3 1 2 3 A A A A A A A A+ [题]图是一个16×4位的ROM,A3、、A2、A1、A0为地址输入,D3、D2、D1、D0是数据输出,若将D3、D2、D1、D0视为A3、、A2、A1、A0的逻辑函数,试写出D3、D2、D1、D0的逻辑函数式。 [解]0 1 2 3 1 2 3 1 2 3 1 2 3 3 A A A A A A A A A A A A A A A A D+ + + = 1 2 3 1 2 3 1 2 3 2 A A A A A A A A A A A A D+ + = 1 2 3 1 2 3 1 2 3 1 2 3 1 2 3 1 A A A A A A A A A A A A A A A A A A A A D+ + + + = 1 2 3 1 2 3 1 2 3 1 2 3 1 2 3 A A A A A A A A A A A A A A A A A A A A D+ + + + = 1 2 3 1 2 3 A A A A A A A A+ + 地址 输入 数据 输出 地址 输入 数据 输出 A3A2A1 A0 D3D2D1 D0 A3A2A1 A0 D3D2D1 D0 0000 0001 0010 0011 0100 0101 0110 0111 0001 0010 0010 0100 0010 0100 0100 1000 1000 1001 1010 1011 1100 1101 1110 1111 0010 0100 0100 1000 0100 1000 1000 0001

数字电子技术基础(第四版)课后习题答案_第三章

第3章 [题3.1] 分析图P3.1电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。 [解] BC AC AB Y BC AC AB C B A ABC Y ++=+++++=21)( B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题3.2] 图P3.2是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

[解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题3.3] 用与非门设计四变量的 多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题3.3的真值表如表A3.3所示,逻辑图如图A3.3所示。 ABCD D ABC D C AB CD B A BCD A Y ++++= BCD ACD ABC ABC +++= B C D A C D A B D A B C ???=

[题3.4] 有一水箱由大、小两台泵M L 和M S 供水,如图P3.4所示。水箱中设置了3个水位检测元件A 、B 、C 。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C 点时水泵停止工作;水位低于C 点而高于B 点时M S 单独工作;水位低于B 点而高于A 点时M L 单独工作;水位低于A 点时M L 和M S 同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。 [解] 题3.4的真值表如表A3.4所示。 真值表中的C B A 、C B A 、C B A 、C AB 为约束项,利用卡诺图图A3.4(a)化简后得到: C B A M S +=, B M L =(M S 、M L 的1状态表示工作,0状态表示停止)。 逻辑图如图A3.4(b)。

相关主题
文本预览
相关文档 最新文档