信号储存与回放
- 格式:docx
- 大小:163.56 KB
- 文档页数:15
数字示波器中的波形存储、录制与回放郑涛;杨拴科;金印彬【摘要】波形存储、录制与回放是数字示波器的重要功能.在此采用闪速存储器( FLASH Memory)存储重要的波形数据,方便用户事后调出观察、分析和对比.每段波形存储的长度固定,根据存储波形的序号、大小、起始地址等建立波形存储索引表,通过查询波形索引表可选择要回放的波形.还可以通过波形录制功能把信号波形录制到静态数据存储器(SDRAM)中,然后回放波形,寻找并观察自己需要的波形.通过直接存储(DMA)方式实现将显示缓冲区存储的波形搬移到波形录制的缓存中去,实现了数据的高速存储.在手持式示波表的研制过程中实现了此波录制和回放方法达到了预期的效果.%Waveform storage, recording and playback are the important functions of a digital oscilloscope (DSO). The FLASH memory is adopted to store the important waveform data. It is convenient for users to call out the waveform informa-tion to observe, analyze and compare. Since the length of each stored waveform is fixed, and the index table of the stored waveforms is established according to their serial numbers, sizes and starting addresses, the waveform that is needed to play-back can be found by querying the index table. Signal waveforms can be also recorded in the static data memory (SDRAM) by the waveform recording function, and then users could find and observe the required waveform by waveform playback. The waveform data stored in display buffer can be moved to the recording buffer though the way of DMA to achieve the high-speed data storage. Waveform storage, recording and playback havebeen implemented during the development of the handheld oscil-loscope. The desired result was achieved.【期刊名称】《现代电子技术》【年(卷),期】2011(034)018【总页数】4页(P149-152)【关键词】数字示波器;波形存储;波形录制;波形回放【作者】郑涛;杨拴科;金印彬【作者单位】西安交通大学电气工程学院,陕西西安710049;西安交通大学电气工程学院,陕西西安710049;西安交通大学电气工程学院,陕西西安710049【正文语种】中文【中图分类】TN919-34;TM9330 引言自然界的信号大多都是瞬时变化的一过性信号,采用示波器的触发功能可以捕获符合触发条件的信号,一些重要的信号需要存储并做进一步的观察和分析。
基于单片机的语音存储与回放系统毕业设计基于单片机的语音存储与回放系统是一种能够实现语音录制、存储和回放功能的设备。
它可以用于各种应用场景,如语音备忘录、语音留言板、语音识别系统等。
该系统的设计需要完成以下关键功能:1. 语音录制:通过麦克风或其他输入设备采集语音信号,并将其转换为数字信号。
可以使用ADC模块将模拟信号转换为数字信号。
2. 存储功能:设计合适的存储器,如EEPROM或Flash存储器,用于存储采集到的语音信号。
存储器的容量应根据实际需求确定,并能够支持快速的读写操作。
3. 控制功能:设计合适的控制电路,通过按键或其他输入设备实现对语音录制和回放功能的控制。
可以使用GPIO口或外部中断等方式实现按键输入的响应。
4. 回放功能:设计合适的音频输出电路,将存储的语音信号转换为模拟信号,并通过扬声器或耳机输出。
可以使用DAC模块将数字信号转换为模拟信号。
5. 用户界面:设计合适的显示屏幕和操作界面,用于显示当前状态和操作指令。
可以使用LCD显示屏和按键等设备实现用户交互。
在设计过程中,需要考虑系统的实时性、容错性和稳定性。
同时,还需要进行适当的电路布局和信号处理,以减少噪音和干扰对语音信号的影响。
在编程方面,可以使用C语言或汇编语言编写程序,实现语音录制、存储和回放的功能。
需要考虑存储器的管理和控制、按键输入的处理、音频数据的处理等方面。
最后,还需要进行系统的测试和调试,确保系统的稳定性和功能完整性。
可以通过模拟语音信号进行录制和回放测试,检查系统的录制和回放效果是否符合要求。
综上所述,基于单片机的语音存储与回放系统的毕业设计需要涉及硬件电路设计、嵌入式软件编程和系统测试等多个方面的知识和技能。
需要深入理解语音信号处理、存储器管理和控制、电路设计和嵌入式系统等知识,并具备一定的创新能力和解决问题的能力。
国家工科基础课程电工电子教学基地数字电子技术(A)课程研究性教学设计报告题目:信号存储与回放系统学院:电子信息工程学院专业:电子科学与技术学生姓名:学号:任课教师:马庆龙2012 年12 月16 日目录1 设计任务 (2)2 系统设计 (2)2.1 任务分析 (2)2.2 总体方案设计 (2)2.3 具体电路设计 (3)3 仿真测试 (9)3.1 电路仿真测试 (9)3.2 仿真测试结果 (11)4 总结 (13)4.1 设计工作总结 (13)4.2 本人所做工作 (13)参考文献 (13)1 设计任务使用A/D转换器ADC0808(使用方法同ADC0809)、D/A转换器DAC0832、RAM存储器62256、运算放大器LM324及其他数字逻辑器件设计一个信号采集存储与回放系统。
其他要求:1. 输入模拟信号电压范围0~5V,频率范围300~3400Hz。
2. 回放输出模拟信号幅度应与输入信号相同。
3. 系统中应设有一个切换开关,可以切换当前为采集存储模式或回放输出模式。
4. 计算系统所能存储的信号时长,并且尽可能延长信号的存储长度。
5. 系统中不得使用单片机或其他含CPU电路。
6. 电路要求采用Proteus软件仿真,时钟信号可直接使用信号源产生。
2 系统设计2.1 任务分析(含文字说明及理论计算,应明确各功能和指标要求的含义及理论实现方法。
);输入信号首先通过一个带通滤波器,然后将经过滤波器的模拟信号输入ADC0808转换为数字信号再存入RAM62256中。
回放时将RAM62256与ADC0808断开,RAM62256与DAC0832接通将存储在RAM62256中的数字信号放出并输入ADC0808将数字信号转换为模拟信号再次经过一个带通滤波器输出。
2.2 总体方案设计(针对上节分析得到的理论实现方法,设计一种实现方案,具体展开说明,给出完整的系统结构框图。
)图2-2-1图2-2-1为信号存储与回放系统设计的系统框图。
基于单片机信号采集与回放系统的设计与实现*吴宁1,李斌2,柴世文3(1.兰州工业高等专科学校电气工程系,甘肃兰州730050;2.兰州石化公司研究院,甘肃兰州730060)摘要:重点介绍了一种基于89C52单片机为控制核心的信号采集与回放控制系统。
该系统结合ADC0809、DAC0832数据采集模块,实现对两路外部信号进行采集、存储及回放。
系统模拟部分主要包括信号调节电路和A/D模块等:软件部分主要由主程序和子程序模块组成,主要实现了A/D转换器的启动与及对采样数据的存储,频率及幅值的计算,按键及显示屏的控制。
该系统经过测试实验,能耗低,性价比高,具有较高的实际应用价值。
关键词:信号采集与存储;信号复现;信号调节;回放系统中图分类号:TM13文献标识码:A文章编号:1007-4414(2011)06-0121-03The design and implementation of signal acquisition and playbacksystem based on microcontrollerWu Ning1,Li Bin2,Chai Shi-wen3(1.Electrical engineering department,Lanzhou polytechnic college,Lanzhou730050,China;2.Research institute of Lanzhou petrochemical corporation,Lanzhou730060,China;3.Gansu academy of mechanical science,Lanzhou Gansu730030,China)Abstract:This paper proposed a signal acquisition and playback control system based on89C52as the control unit.The sys-tem associated with ADC0809and DAC0832to achieve the two external signal acquisition,storage and playback.The analog section of the system included signal adjusting circuit and A/D module.The function of software modules consisted of main program and subroutine.It realized the start of the A/D converter,the sampling data storage,the calculation of the frequency and amplitude,the control of the buttons and display.The system has been tested to prove low energy consumption,cost-ef-fective and high practical value.Key words:signal capture and storage;signal reproduction;signal conditioning;playback system1引言很多工业现场中的电气设备在发生故障时,由于环境限制或是故障原因复杂,无法有效对系统故障进行在线的分析和判别,如果能够记录下故障设备产生的信号,再通过网络进行专家判别,将更利于系统的快速恢复与故障排除。
低频信号的存储与回放低频信号的存储与回放摘要:本实验通过使用ADUC842单片机开发板实现低频信号的存储与回放,可以将幅度为0~1V、频率小于1KHz的低频信号,通过ADuC842的ADC模块转换成数字信号存储在单片机的存储器中,存储深度为10K;并由ADuC842的DAC模块输出到示波器上显示,同时用STC11F02芯片作主机与ADUC842作从机进行I2C通信,把ADUC842存储的数据在LCD屏上稳定显示出来;分为实时存储、实时显示和单次存储、连续稳定显示两种模式。
关键词:低频信号,AD-DA转换,实时显示一、设计思想方案设计与论证方案1:ADUC842通过设置PLLCON寄存器,可以把单片机内核工作频率设置为16.78MHZ。
通过设置ADCCON1寄存器,可以把完成一次A/D转换的时间设置成2.38us。
实验要求有两种存储方式。
方式一:单次存储,连续显示。
ADUC842设置成16.78MHZ时,执行一条指令的时间约为0.25us,所以可以通过软件延时,利用循环语句可以实现单次存储10K个点。
然后通过D/A转换,把波形显示在示波器上,同时利用I2C通信把存储在ADUC842中的点显示在LCD上。
方式二:实时存储,实时显示。
通过循环语句理论上可以实现,即在循环语句中前一个时刻存储数据,在下一个时刻读取数据同时把数据通过I2C通信显示在LCD上。
优点:存储速度快,即存储10K个点最快只需要23.8ms。
编程方式简单,可读性强。
缺点:A/D与D/A转换速度太快,虽然能够在示波器上显示出来,但I2C通信速度跟不上A/D与D/A转换速度,从ADUC842传送一个数据到STC11F02E,再由STC11F02E传送到LCD上显示出来,需要的时间约500us,不易实现打点功能。
方案2:ADUC842通过设置PLLCON寄存器,可以把单片机内核工作频率设置为16.78MHZ。
通过设置ADCCON1寄存器,可以把完成一次A/D转换的时间设置成2.38us。
第4章文件的提取及其同步
据准备就绪后,要根据操作人员选择的条件进行判定文件是否同步播放,若是,则进一步判断准备好的雷达数据时间是否较早,若是,则计算相差时间△丁,雷达数据进行等待,语音文件再同步输出。
图4.7雷达视频与VHF音频同步回放流程
Fig.4.7RadarvideowithVHFaudiosynchronousreplayingprocess
4.5本童小结
在给出了雷达视频数据与VHF音频数据格式的基础上,只需提取到所需时间段的文件,利用头部时间戳,便可以实现文件的同步回放。
视频与音频文件时间戳相差控制在3s之内,因此回放时选用等待同步机制。
示波器的存储和回放功能详解示波器是一种常见的电子测量仪器,在电子工程、通信、嵌入式系统等领域具有广泛的应用。
它可以通过显示波形来分析和观察电信号的特征,而示波器的存储和回放功能是其中重要的特性之一。
本文将详细介绍示波器的存储和回放功能原理及其应用。
一、存储功能的原理及作用示波器的存储功能通过将采集到的电信号波形数据保存在内存中,实现对波形数据的暂存和处理。
它的原理是将输入信号经过采样和量化转换后,存储到示波器的内部或外部存储器中。
存储功能可以实现对波形数据的长期保存、重复分析和进一步处理,为后续的信号分析和故障排查提供了便利。
存储功能的作用主要有以下几个方面:1. 数据保存与共享:示波器的内存容量较大,可以存储大量的波形数据。
通过存储功能,用户可以将采集到的波形数据保存下来,方便后续的复查和共享。
同时,也可以将波形数据导出到外部存储设备或计算机中,进行更深入的分析和处理。
2. 波形观察与比较:存储功能允许用户将多个波形数据同时存储下来,并进行比较和观察。
这对于观察波形的稳定性、频谱特征等方面非常有帮助。
通过比较多组波形数据,可以更准确地判断信号的变化规律,进一步分析电路的性能。
3. 信号捕获与存储:示波器的存储功能可以将瞬态信号的瞬间变化捕获下来,并保存为波形数据。
这对于分析瞬态信号的幅值、频率等参数非常有用。
用户可以通过存储功能,捕捉到一些短暂的信号现象,以便进一步研究和分析。
二、回放功能的原理及应用示波器的回放功能是指将已存储的波形数据重新播放出来,实现对信号波形的再现。
它的原理是将存储的波形数据按照一定的速率重新读取出来,并通过显示装置呈现出来。
回放功能可以帮助用户在不再接入真实信号源的情况下,对已存储的波形数据进行再次观察和分析。
回放功能主要应用于以下几个方面:1. 故障分析与调试:当某个故障发生时,示波器的回放功能可以帮助用户将故障时的波形数据重新播放,并进行详细分析。
这对于了解故障发生的原因及其影响非常有帮助。
频谱分析仪使用方法说明书一、引言频谱分析仪是一种用于分析信号频谱的仪器,广泛应用于无线通信、电子设备测试、音频视频处理等领域。
本说明书旨在详细介绍频谱分析仪的使用方法,帮助用户正确操作并快速掌握相关知识。
二、仪器概述频谱分析仪由主机和附件组成,主机包含显示屏、控制按钮和接口等。
附件包括电源适配器、电缆和天线等。
在使用前,请确保已正确连接各部分,并确认仪器处于正常工作状态。
三、基本操作1. 打开仪器电源:将电源适配器插入电源插座,然后将电源线与仪器连接。
按下电源按钮,等待仪器启动完成。
2. 调整显示参数:通过屏幕上的触控按钮或旋钮,设置显示模式、分辨率、屏幕亮度等参数,以满足实际需求。
3. 设置信号源:将待测信号源通过电缆连接至仪器的输入接口。
根据信号源的特性,设置输入衰减、频率范围等参数。
4. 进行测量:点击仪器界面上的测量按钮开始频谱分析。
在分析过程中,可以通过调整参数、切换模式等进行实时监测和分析。
5. 结果保存:测量完成后,可以将结果保存至仪器内部存储器或外部存储设备中。
按照仪器的操作指南,选择存储路径和文件名,并确认保存。
四、高级功能1. 信号捕获与回放:频谱分析仪具备信号捕获和回放功能,可以捕获待测信号并进行离线分析,或回放已保存的信号数据进行再次分析。
2. 频谱监测与报警:设置仪器的频谱监测功能,即可实时监测特定频段内的信号活动,并设置相应的报警条件和方式,以便及时发现异常情况。
3. 扩展功能:根据具体型号和配置,频谱分析仪还可提供其他扩展功能,例如无线通信协议解码、频率校准等。
请参照相关文档和操作指南,了解和使用这些功能。
五、常见问题与解决方法1. 仪器无法启动:检查电源适配器和电源线是否接触良好,确认电源插座是否正常工作。
2. 仪器无法检测到信号:检查信号源的连接是否正确,确认输入接口的设置是否符合信号源的要求。
3. 测量结果不准确:可能是由于环境干扰、输入参数设置错误等原因导致。
一.实验项目名称信号存储与回放二.实验目的设计并制作一个数字化信号存储与回放系统。
三.实验要求1. 信号频率约为30Hz,波形为单极性正弦波和三角波,Vpp~4V;2. ADC:采样频率fs=4kHz,字长=8位;3. 信号存储时间≥4秒;4. DAC:转换频率fC=4kHz,字长=8位;5. 示波器观察,回放波形无明显失真;6. 回放方式:直通方式(采集数据后直接回放,不存储)、单次回放、循环回放;7. 具有采集完成指示;8. 数据编码:4位DPCM(1位符号,3位数据)。
9. 计算对Vpp为5V单极性正弦波,4位DPCM编码,不失真的信号最大频率。
四.实验设备与元器件Quartus II软件1套FPGA 1套函数信号发生器1台五.项目背景5.1脉冲编码调制—PCM脉冲编码调制(pulse code modulation,PCM)是概念上最简单、理论上最完善的编码系统,是最早研制成功、使用最为广泛的编码系统,但也是数据量最大的编码系统。
下图为PCM系统的原理框图:图中,输入的模拟信号m(t)经抽样、量化、编码后变成了数字信号(PCM 信号),经信道传输到达接收端,由译码器恢复出抽样值序列,再由低通滤波器滤出模拟基带信号m(t)。
通常,将量化与编码的组合即为模/数变换器(A/D 变换器);而译码与低通滤波的组合即为数/模变换器(D/A 变换器)。
前者完成由模拟信号到数字信号的变换,后者则相反,即完成数字信号到模拟信号的变换。
PCM 在通信系统中完成将语音信号数字化功能。
根据CCITT 的建议,为改善小信号量化性能,采用非均匀量化,有两种建议方式,分别为A 律和μ律方式,我国采用了A 律方式,由于A 律压缩实现复杂,常使用 13 折线法编码,采用非均匀量化PCM 编码。
5.2增量调制(△M)增量调制是脉冲编码调制的一种特殊形式,即1比特量化的差值脉码。
在PCM 系统中,将信号抽样值编为多位二进制码。
为提高编码质量,要增加码长,导致设备复杂。
而增量调制每次抽样只用一位二进制码表示,它表示了相邻样值抽样量化编码信道干扰m(tm s (tm sq (t)A/D 变换译码低通滤波m sq (t)m(t)的增减变化,这样,编码设备十分简单。
首先,根据信号的幅度大小和和抽样频率确定阶梯信号的台阶。
在抽样时刻,比较信号和前一时刻的阶梯波形取值,其中:1 如果,则用上升一个台阶表示,此时编码器输出"1"码;2 如果,则用下降一个台阶表示,此时编码器输出"0"码。
下次编码按上述方法将与比较,使之上升或下降一个台阶电压去逼近模拟信号。
如果抽样频率足够高,台阶电压足够小,则阶梯波形近似为m(t),而上升台阶和下降台阶的二进制代码分别用"1"和"0"表示。
这个过程就是增量编码。
如图所示的模拟信号m(t)采用增量调制编码编出的二进制代码为:01010111111100011。
增量调制信号的译码器可由一个积分器来实现,如图 (a)所示,当积分器的输入为"1"码时(即输入为脉冲电压),就以固定斜率上升一个(等于), 当积分器的输入为"0"码时(即输入为脉冲电压),就以固定斜率下降一个。
积分器输出虽已接近原来模拟信号,但往往含有不必要的高次谐波分量,故需再经低通滤波器平滑,这样,就可得到十分接近模拟信号的输出信号。
5.3 DPCM 编码DPCM 编码,简称差值编码,是对模拟信号幅度抽样的差值进行量化编码的调制方式。
这种方式是用已经过去的抽样值来预测当前的抽样值,对它们的差值进行编码。
差值编码可以提高编码频率,这种技术已应用于模拟信号的数字通信之中。
对于有些信号(例如图像信号)由于信号的瞬时斜率比较大,很容易引起过载,因此,不能用简单增量调制进行编码,除此之外,这类信号也没有像话音信号那种音节特性,因而也不能采用像音节压扩那样的方法,只能采用瞬时压扩的方法。
但瞬时压扩实现起来比较困难,因此,对于这类瞬时斜率比较大的信号,通常采用一种综合了增量调制和脉冲编码调制两者特点的调制方法进行编码,这种 编码方式被简称为脉码增量调制,或称差值脉码调制,用DPCM 表示。
这种调制方式的主要特点是把增量值分为多个等级,然后把个不同等级的增量值编为二进制代码再送到信道传输,因此,它兼有增量调制和PCM 的各自特点。
经过DPCM 调制后的信号,其传输的比特率要比PCM 的低,相应要求的系统传输带宽也大大地减小了。
此外,在相同比特速率条件下,DPCM 比PCM 信噪比也有很大的改善。
与ΔM 相比,由于它增多了量化级,因此,在改善量化噪声方面优于ΔM 系统。
DPCM 的缺点是易受到传输线路上噪声的干扰,在抑制信道噪声方面不如ΔM。
六. 实验原理 电路示意图存储器DAC解码器DPCM编码ADC控制器七.实验内容及步骤1)按照实验要求中:采样频率fs=4KHz以及转换频率fc=4KHz 所以采用系统时钟1MHz进行250分频。
2)配置ADC以及DAC,实现直通功能来检测配置是否正确。
3)生成RAM模块,编写编码解码模块。
4)编写存储第一个8位数模块。
5)模块级联,测试功能。
八.实验过程8.1顶层设计8.2输入输出模块输入Clk-系统时钟 wr-clr编码器相关寄存器清零Start—数据采集使能 rd_clr译码器相关寄存器清零Outen—输出使能 TLC5510 8位并行AD输入输出DA-clk DA 输出时钟 AD-clk AD 采样时钟 AD-en AD 使能位(置0) DA-NC DA 的两个低位接地 DA DA 数据输出8.2.1分频器模块设计outclk:输出4KHz的频率 clk: 输入1MHz 的频率对1MHZ 进行250分频从而获得精确的4KHZ 的系统时钟module dividefreq(clk,outclk);input clk;//input clk 1MHZ 1000/250=4KHZ output reg outclk; reg [6:0] acc;always @(posedge clk) beginacc<=acc+1'b1; if(acc==125) beginoutclk<=~outclk; acc<=0; end endendmodulemodule first_num(ad,num,start,clk,wr_en,clr);input start,clk,clr;input [7:0] ad;output reg [7:0] num;output reg wr_en;reg flag;initial begin flag=0; endalways @(posedge clk or posedge clr)beginif(clr)beginnum<=0;wr_en<=0;flag<=0;endelseif(!flag)if(start)beginnum<=ad;wr_en<=1;flag<=1;endendendmodule当start被置1时,数据开始采集。
首先由还模块采集第一个8位数据进行锁存后,wr_en(写入使能位)输出位被置1,同时base[7..0]输出初值,DPCM编码模块部分开始工作,将编码后数据存入ram中。
8.4 DPCM编码模块8.4.1 当前值与前次值寄存模块input [7:0] in8;output reg [7:0] out8;always @(posedge clk or posedge clr)beginif(clr)out8<=0;elseout8<=in8;endendmodule8.4.2 差值运算电路此模块作用将两个8位寄存器的输出相减,即为2次采样的差值,从而实现DPCM 编码。
考虑到可能会有溢出,故此处使用除法器模块对两个8位寄存器的输出相减后再操作,虽然降低了AD的分辨率,但可以使失真频率显著提高。
module subdiy(a,b,c);input [7:0]a,b;output [3:0] c;assign c=a-b;endmodule此处为实现补码运算的目的,没有调用宏模块中的减法器,此段verilog直接实现了补码运算的功能,故ram 模块中所存储的为差值的补码。
8.4.3 ram 写入控制部分及其外围模块写入控制部分主体为一个模16000的计数器(由于ram 为4bit*16000),当初值模块完成初值采集后wr_en 位被置1,该写地址控制寄存器开始工作,并且将ram 块上的wren 写输入控制置1开始写入数据。
当该计数器计满时,由于cout 与上wr_en 反馈到了clk_en,将该地址寄存器锁住后不再计数,需要通过外部按键将编码器清零后才可以重新开始。
同时complete_flag 模块判断cout 变1后,显示采集完成标志,即1个数码管由0变F 。
module complete_flag(clk,clr,cout,seg); input clr,cout,clk; output reg [3:0]seg; always @(posedge clk) beginif(clr) seg<=0; elsebeginif(cout)seg<=4'b1111; end endendmodule8.5 ram 模块8.6 DPCM译码模块8.6.1 补码转换模块由于ram中存储的为4位的补码,初值为8位数值,无法直接相加。
首先需要将数据处理成8位补码,从ram中取出4位数据低3位数据位进行乘2(由于编码时对差值进行了除2的做法),而最高位符号位用于补码转换时做判断。
module qubuma(a,b,q3);input [3:0] a;input q3;output [7:0] b;assign b=(q3==0)?({4'b0000,a[3:0]}):({4'b1111,a[3:0]}); endmodule8.6.2 累加模块Base[7..0]即为采集的8位初值Diff[7..0]即为经过前级处理后的8位补码差值Radd_en为读取使能信号,由总控制模块输出Outen为外部输入输出使能信号Rd_clr为外部输入译码器清零信号Cycle_clr为当进行循环播放时每循环一次进行一次清零,防止由于ram块中记录的第一个数据与最后一个数据间未知的差值造成累加的错误。
module acc(base,diff,outx,clk,clr,en);//when en==1 accummulateinput [7:0]base,diff;input clk,clr,en;output reg [7:0] outx;reg flag;initial begin flag=0; endalways @(posedge clk or posedge clr)beginif(clr)beginflag<=0;outx<=0;endelsebeginif(en)beginif(!flag)beginoutx<=base+diff;flag<=1;endelseoutx<=outx+diff;endendendendmodule8.7系统输出控制模块8.7.1 总控模块//choose[1:0]为输出使能编码位,ad[7..0]为直接采集的AD数据,memory[7..0]为译码后数据,feed_backen为ram的读控制地址寄存器的cout反馈使能位,radd_en为控制读取ram中数据的读使能位。