当前位置:文档之家› DAC0832接口电路及程序设计

DAC0832接口电路及程序设计

DAC0832接口电路及程序设计
DAC0832接口电路及程序设计

附件1:

学号:0121109320507

课程设计

DAC0832接口电路

题目

及程序设计

学院信息工程学院

专业通信工程

班级信息sy1101

姓名芦启超

指导教师陈适

2014年 6月18日

课程设计任务书

学生姓名:芦启超专业班级:信息sy1101 指导教师:陈适工作单位:信息工程学院

题目: DAC0832接口电路及程序设计

初始条件:

(1) Quartus II、ISE 等软件;

(2)课程设计辅导书:《Xilinx FPGA 设计与实践教程》

(3)先修课程:数字电子技术、模拟电子技术、通信原理

主要任务:

(1)掌握DAC0832接口电路及程序设计的基本原理;

(2)掌握仿真软件Quartus II的使用方法;

(3)完成对DAC0832接口电路设计,并对仿真结果进行分析。

时间安排:

(1)2014 年6月11日--2014 年6月18日理论设计、仿真设计

地点:鉴主13 楼通信工程综合实验室、鉴主15 楼通信工程实验室。

(2)2014 年6 月18 日进行理论答辩。

指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录

摘要........................................................................................................................................... I V Abstract (5)

1 基本原理 0

1.1 系统背景 0

1.2 FPGA最小系统简介 0

1.3 DAC0832简介 (1)

1.4 DAC0832 接口电路设计 (1)

1.5 DAC0832 接口电路程序设计 (2)

1.5.1 DAC0832 时序 (2)

1.5.2 DAC0832接口电路输出控制程序 (3)

2 仿真结果与分析 (6)

2.1 关于Quartus II 软件 (6)

2.1.1 Quartus II 的优点 (6)

2.1.2 Quartus II 对器件的支持 (6)

2.1.3 Quartus II 对第三方EDA 工具的支持 (7)

2.2 输出仿真结果 (7)

3 结论 (9)

总结与体会 (10)

参考文献 (11)

摘要

本次课程设计是使用Quartus ii 进行仿真,基于VHDL硬件描述语言,完成了DAC0832接口电路及程序的设计。DAC0832是采用CMOS/Si-Cr工艺实现的8位D/A转换器。该芯片包含8位输入寄存器、8位DAC寄存器、8位D/A转换器,可以实现D/A转换。

本次课程设计主要研究的是VHDL语言,尝试DAC0832接口电路的设计与仿真,学会Quartus ii 的基本使用,加强对FPGA的理解。

关键词:DAC0832;VHDL;Quartus ii

Abstract

The curriculum design use Quartus ii to simulate, which is based on the VHDL hardware description language and complete the design of DAC0832 interface circuit and program. DAC0832 is a 8 bit D/A converter with CMOS/Si-Cr technology. The chip contains 8 bit input register, a 8 bit DAC register, a 8 bit D/A converter, can realize D/A conversion.

Study design this course is the VHDL language, try to design and Simulation of the DAC0832 interface circuit, learn how to use Quartus ii basicly, strengthen the understanding of FPGA.

Key Words:DAC0832;VHDL;Quartus ii

1 基本原理

1.1 系统背景

现场可编程逻辑门阵列FPGA ,与PAL 、GAL 器件相比,他的优点是可以实时地对外加或内置得RAM 或PROM 编程,实施地改变迄今功能,实现现场可编程(基于EPROM 型)或在线重配置(基于RAM 型)。是科学试验、演技研制、小批量产品生产的最佳选择其间。自上世纪70年代单片机问世以来,它以其体积小、控制功能齐全、价格低廉等特点赢得了广泛的好评与应用。由单片机构成的应用系统有有体积小、功耗低控制功能强的特点,它用利于产品的小型化、多功能化和智能化,还有助与提高仪表的精度和准确度,简化结构、减小体积与重量,便于携带与使用,降低成本,增强抗干扰能力,便于增加显示、报警和诊断功能。因而许多现代仪器仪表都用到了FPGA

DAC0832是8分辨率的D/A 转换集成芯片。与微处理器完全兼容。这个D/A 芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到广泛的应用。

1.2 FPGA 最小系统简介

图1.1 FPGA 最小系统

FPGA 最小系统是可以使FPGA 正常工作的最简单的系统。它的外 围电路尽量最少,只包括FPGA 必要的控制电路。

一般所说的FPGA 的最小系统主要包括:FPGA 芯片、下载电路、外部时钟、复位电路和电源。如果需要使用NIOS II 软嵌入式处理器还要包括:SDRAM 和Flash 。一般以上这些组件是FPGA 最小系统的组成部分 。如图1.1所示。

图1.2 DAC0832内部结构图

DAC0832是采样频率为八位的D/A 转换,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A 异步输入、同步转换等)。所以这个的应用很广泛,关于DAC0832应用的一些重要资料见下图: D/A 转换结果采用形式输出。若需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈可通过RFB 端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL 电平,可直接与TTL 电路或微机电路连接。其内部结构如图1.2所示。

1.4 DAC0832 接口电路设计

DAC0832中有两级锁存器,第一级即输入寄存器,第二级即DAC 寄存器,可以工作在双缓冲方式下。 其接口电路如图1.3所示:

D7~ D0 ILE CS WR1 WR2 XFER VREF IOUT2 IOUT1 Rfb AGND VCC DGND

图1.3 FPGA与DAC0832接口电路原理图

FPGA_IO1~8向DAC0832的数据输入口(DI0~DI7)输送数据。

FPGA_IO9提供DAC0832数据锁存允许控制信号ILE,高电平有效。

FPGA_IO10提供DAC0832控制信号(CS:片选信号;Xfer:数据传输控制信号;WR1、WR2:DAC寄存器写选通信号),低电平有效。

Iout1、Iout2、Rfb与运算放大器LM324完成电流/电压的转换(DAC0832属电流输出型)。

1.5 DAC0832 接口电路程序设计

1.5.1 DAC0832 时序

根据DAC0832 输出控制时序,利用接口电路图,通过改变输出数据设计一

个锯齿波发生器。DAC0832是8位的D/A转换器,转换周期为1μs。锯齿波形数据可以由256个点构成,每个点的数据长度为8位。又因为FPGA的系统时钟为50MHz,必须对其进行分频处理,这里进行64分频,得到的锯齿波的频率为762.9Hz。图1.4为DAC0832 输出控制时序图。

图1.4 DAC0832 输出控制时序图

1.5.2 DAC0832接口电路输出控制程序

DAC0832 接口电路程序如下:

--文件名:DAC0832.VHD

--功能:产生频率为762.9Hz的锯齿波。

--最后修改日期:2014.6.15

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity DAC0832 is

port(clk:in std_logic; --系统时钟

rst:in std_logic; --复位信号 ile:out std_logic; --数据锁存允许信号 cont:out std_logic; --控制信号(WR1、WR2、CS、Xfer) data_out:out std_logic_vector(7 downto 0)); --波形数据输出

end DAC0832;

architecture behav of DAC0832 is

signal q:integer range 0 to 63; --计数器signal data:std_logic_vector(7 downto 0); --波形数据Begin

process(clk)

begin

if rst='1' then q<=0; --复位,对计数器q清零elsif clk'event and clk='1' then

if q=63 then q<=0; --此IF语句对系统时钟进行64分频 if data="11111111" then data<="00000000";

--此IF语句产生锯齿波波形数据

else data<=data+1;

end if;

else q<=q+1;

end if;

end if;

end process;

ile<='1';cont<='0';data_out<=data; --ile、cont赋值;波形数据输出;end behav;

2 仿真结果与分析

2.1 关于Quartus II 软件

Max+plus II 作为 Altera 的上一代 PLD 设计软件,由于其出色的易用性而得到了广泛的应用。目前 Altera 已经停止了对 Max+plus II 的更新支持。Quartus II 是 Altera公司继 Max+plus II 之后开发的一种针对其公司生产的系列 CPLD/PGFA 器件的综合性开发软件,它的版本不断升级,从 4.0 版到 10.0 版,这里介绍的是 Quartus II 8.0 版,该软件有如下几个显著的特点:

2.1.1 Quartus II 的优点

该软件界面友好,使用便捷,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的 EDA 工具软件。该软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL 以及 AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整 PLD 设计流程。 Quartus II 可以在 XP、Linux 以及 Unix 上使用,除了可以使用 Tcl 脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。

2.1.2 Quartus II 对器件的支持

Quartus II 支持 Altera 公司的 MAX 3000A 系列、MAX 7000 系列、MAX 9000 系列、ACEX 1K系列、APEX 20K系列、APEX II系列、FLEX 6000系列、FLEX 10K 系列,支持MAX7000/MAX3000等乘积项器件。支持 MAX II CPLD 系列、Cyclone 系列、Cyclone II、Stratix II 系列、Stratix GX 系列等。支持 IP 核,包含了 LPM/MegaFunction 宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。此外,Quartus II 通过和 DSP Builder 工具与Matlab/Simulink 相结合,可以方便地实现各种 DSP 应用系统;支持 Altera

的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

2.1.3 Quartus II 对第三方EDA 工具的支持

对第三方 EDA 工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA 工具。 Altera 的 Quartus II 可编程逻辑软件属于第四代 PLD 开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet 的协作设计。Quartus 平台与 Cadence、ExemplarLogic、MentorGraphics、Synopsys 和 Synplicity 等 EDA 供应商的开发工具相兼容。改进了软件的 LogicLock 模块设计功能,增添了 FastFit 编译选项,推进了网络编辑性能,而且提升了调试能力。

用户首先对所做项目进行设计,明确设计目的,设计要求。然后利用原理图输入方式或程序输入方式进行设计输入。输入完成后进行编译,若编译过程中发现错误,则应检查设计输入以修改错误,直至没有错误产生。编译完成后进行仿真,检查是否达到设计要求,若未到达要求,需重新检查设计输入及编译过程,不断迭代,收敛直至满足设计要求。最后将设计配置到目标器件中进行硬件验证与测试。为设计者提供了完善的设计环境,适于模块的层次化设计方法。

2.2 输出仿真结果

输出波形如图2.1所示:

图2.1 输出波形图

数据流分析结果如图2.2所示:

由仿真结果我们可以看出,,复位后计数器q在每个时钟周期计数一次,基本基本达到设计要求。由此可见,我们的仿真是正确的。

3 结论

FPGA与DAC的结合使得D/A转换的效率大大提高,还可以运用到多个方面。本文基于FPGA实现DAC0832的接口电路,更加深入的了解了两种芯片的功能。在实际运用中,可以将DAC部分集成到一块芯片上,这样即提高了 FPGA 内部结构的利用率,又可以降低系统的成本。

而且,通过本次课程设计,我了解的FPGA与DAC0832接口电路的功能,认识到可以将其推广到更多的地方,比如基于FPGA和DAC0832的数字滤波器和程控放大器等等。这说明了本电路的基础性和广泛的运用性。通过这次设计让我受益匪浅。

总结与体会

这次的课程设计主要是让我们学习使用 Quartus ii ,利用 VHDL 语言来实现 MFSK的设计和仿真。经过这次课程设计,我更进一步的加强了对 FPGA 的认识,学会了对 VHDL 语言的基本运用,加深了对 MFSK 的理解,发现了他的神奇。一开始,我从最基本的 VHDL 语言学起,一步一步逐渐学会 Quartus ii的应用。经过查阅了相关资料,慢慢的学会了 DAC0832电路的设计,大大提升了我们对FPGA 的兴趣。我觉得通过这次课设之后,我发现 FPGA 强大的功能,决定继续深入的学习这个软件。

参考文献

[1]VHDL数字电路设计教程/(巴西)佩德罗尼著;乔卢峰译./北京:电子工业出版社,2013.1

[2]电子设计自动化应用技术—FPGA应用篇/路而红主编./北京:高等教育出版社,2009.11

[3]张学平,王应生等.基于 FPGA 的 OQPSK 解调器的设计与实现[J].微计算机信息,2006,4-2:155-157

[4]黄智伟, FPGA 系统设计与实践[M].北京:电子工业出版社,2005

[5]董在望,通信电路原理[M].北京:高等教育出版社,2002 [4]侯伯亨顾新. VHDL 硬件描述语言与数字逻辑电路设计. [M].西安. 西安电子科技大学出版社,1999

本科生课程设计成绩评定表

指导教师签字:

年月日

微机原理及其应用报告数模转换器DAC0832双缓冲输出设计

本科生实验报告 实验名称:数模转换器DAC0832双缓冲输出设计 一、实验目的 1)了解DAC0832芯片引脚、内部结构及工作原理; 2)掌握应用单片机I/O 端口控制DAC0832实现数模转换的方法; 3)掌握DAC0832单缓冲和双缓冲控制技术及编程设计方法; 二、实验原理 DAC0832是8位分辨率的数模转换集成芯片,内部采用倒T 形网络,电流型 输出模式,电流输出稳定时间为1us ,采用单电源供电。 片内部由一个8位输入锁存器、一个8位DAC 寄存器和一个8位D/A 转换器构成,内部具有双缓冲结构,可以实现单缓冲、双缓冲数字输入。 双缓冲同步控制方式 : 针对多个模拟量需要同时输出的控制系统,可以采用双缓冲同步控制方式。D/A 转换数据的输入锁存和D/A 转换输出分两步完成。首先,CPU 分时向各路D/A 转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,CPU 同时对所有D/A 转换器发出输入所存数据打入DAC 寄存器的控制信号,即可实现 VREF IOUT2 IOUT1 DGND VCC AGND RFB

多通道的同步模拟量数据输出。 应用双缓冲方式,可以在输出模拟信号的同时采集下一个数字量,有效地提高转换速度。另外,可以在多个D/A转换器同时工作时,利用双缓冲模式实现多路D/A的同步输出。 三、实验内容 通过单片机I/O端口控制两路DAC0832实现数模转换,控制方式采用双缓冲控制方式。 1.阅读理解双缓冲控制电路图,分析双缓冲模式下DAC0832与单片机接口电路的设计及两次DA转换实验在控制电路上的异同。 2.设计程序,实现双缓冲模式下DA转换的同步输出。 首先,CPU分时向各路D/A转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,通过按键控制,同时对两个DAC0832锁存数据进行数模转换,同步产生三角波、正弦波模拟输出信号。 四、实验过程 1,实验原理图 2,实验源程序 #include sbit DAC1_WR1=P2^0; sbit DAC2_WR1=P2^1; sbit DAC_SW1=P2^2; sbit DAC_SW2=P2^3;

DAC0832接口电路及程序设计

附件1: 学号:0121109320507 课程设计 DAC0832接口电路 题目 及程序设计 学院信息工程学院 专业通信工程 班级信息sy1101 姓名芦启超 指导教师陈适 2014年 6月18日

课程设计任务书 学生姓名:芦启超专业班级:信息sy1101 指导教师:陈适工作单位:信息工程学院 题目: DAC0832接口电路及程序设计 初始条件: (1) Quartus II、ISE 等软件; (2)课程设计辅导书:《Xilinx FPGA 设计与实践教程》 (3)先修课程:数字电子技术、模拟电子技术、通信原理 主要任务: (1)掌握DAC0832接口电路及程序设计的基本原理; (2)掌握仿真软件Quartus II的使用方法; (3)完成对DAC0832接口电路设计,并对仿真结果进行分析。 时间安排: (1)2014 年6月11日--2014 年6月18日理论设计、仿真设计 地点:鉴主13 楼通信工程综合实验室、鉴主15 楼通信工程实验室。 (2)2014 年6 月18 日进行理论答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要............................................................................................................................................. I Abstract ........................................................................................................................................... II 1 基本原理 (1) 1.1 系统背景 (1) 1.2 FPGA最小系统简介 (1) 1.3 DAC0832简介 (2) 1.4 DAC0832 接口电路设计 (2) 1.5 DAC0832 接口电路程序设计 (3) 1.5.1 DAC0832 时序 (3) 1.5.2 DAC0832接口电路输出控制程序 (4) 2 仿真结果与分析 (7) 2.1 关于Quartus II 软件 (7) 2.1.1 Quartus II 的优点 (7) 2.1.2 Quartus II 对器件的支持 (7) 2.1.3 Quartus II 对第三方EDA 工具的支持 (8) 2.2 输出仿真结果 (8) 3 结论 (10) 总结与体会 (11) 参考文献 (12)

DAC0832中文资料

DAC0832引脚功能电路应用原理图 DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图: D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL电平,可直接与TTL电路或微机电路连接。

dac0832应用电路图 dac0832应用电路图: DAC0832引脚功能说明: DI0~DI7:数据输入线,TLL电平。 ILE:数据锁存允许控制信号输入线,高电平有效。CS:片选信号输入线,低电平有效。 WR1:为输入寄存器的写选通信号。 XFER:数据传送控制信号输入线,低电平有效。WR2:为DAC寄存器写选通输入线。 Iout1:电流输出线。当输入全为1时Iout1最大。

Iout2: 电流输出线。其值与Iout1之和为一常数。 Rfb:反馈信号输入线,芯片内部有反馈电阻. Vcc:电源输入线 (+5v~+15v) Vref:基准电压输入线 (-10v~+10v) AGND:模拟地,摸拟信号和基准电源的参考地. DGND:数字地,两种地线在基准电源处共地比较好. 采用ADC0809实现A/D转换。 (一)D/A转换器DAC0832 DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。如图4-82所示,它由倒T型R-2R电阻网络、模拟开关、运算放大器和参考电压VREF四大部分组成。运算放大器输出的模拟量V0为: 图4-82

DAC0832电路与程序(正弦波)

DAC0832电路与程序设计(正弦波) 1.函数法正弦波 #include #include sbit cs1=P2^3; sbit rd=P2^4; unsigned char p,q; float f; int a; #define pi 3.1415926

void delay(unsigned char i) { unsigned char j; for(;i>0;i--) for(j=0;j<110;j++); } void main() { cs1=0; rd=0; while(1) { q=0; for(q=0;q<225;q++) { p=5+q; if(p>255) p=0; f=(sin(2*pi/225*q)+1)*128; a=f;

P1=a; //delay(10); } } } 2.查表法正弦波高低频叠加 #include #include sbit cs1=P2^3; sbit rd=P2^4; unsigned char p,m; unsigned char code sin[]={ 0x80,0x83,0x86,0x89,0x8D,0x90,0x93,0x96,0x99,0x9C,0x9F,0xA2,0xA5,0xA8,0x AB,0xAE, 0xB1,0xB4,0xB7,0xBA,0xBC,0xBF,0xC2,0xC5,0xC7,0xCA,0xCC,0xCF,0xD1,0x D4,0xD6,0xD8, 0xDA,0xDD,0xDF,0xE1,0xE3,0xE5,0xE7,0xE9,0xEA,0xEC,0xEE,0xEF,0xF1,0x F2,0xF4,0xF5,

微机原理及其应用报告:数模转换器DAC0832双缓冲输出设计

本科生实验报告 实验名称:数模转换器DAC0832双缓冲输出设计 一、实验目的 1)了解DAC0832芯片引脚、内部结构及工作原理; 2)掌握应用单片机I/O端口控制DAC0832实现数模转换的方法; 3)掌握DAC0832单缓冲和双缓冲控制技术及编程设计方法; 二、实验原理 DAC0832是8位分辨率的数模转换集成芯片,内部采用倒T形网络,电流型输出模式,电流输出稳定时间为1us,采用单电源供电。 片内部由一个8位输入锁存器、一个8位DAC寄存器和一个8位D/A转换器构成,内部具有双缓冲结构,可以实现单缓冲、双缓冲数字输入。 双缓冲同步控制方式: 针对多个模拟量需要同时输出的控制系统,可以采用双缓冲同步控制方式。D/A转换数据的输入锁存和D/A转换输出分两步完成。首先,CPU分时向各路D/A转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,CPU同时对所有D/A转换器发出输入所存数据打入DAC寄存器的控制信号,即可实现

多通道的同步模拟量数据输出。 应用双缓冲方式,可以在输出模拟信号的同时采集下一个数字量,有效地提高转换速度。另外,可以在多个D/A转换器同时工作时,利用双缓冲模式实现多路D/A的同步输出。 三、实验内容 通过单片机I/O端口控制两路DAC0832实现数模转换,控制方式采用双缓冲控制方式。 1.阅读理解双缓冲控制电路图,分析双缓冲模式下DAC0832与单片机接口电路的设计及两次DA转换实验在控制电路上的异同。 2.设计程序,实现双缓冲模式下DA转换的同步输出。 首先,CPU分时向各路D/A转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,通过按键控制,同时对两个DAC0832锁存数据进行数模转换,同步产生三角波、正弦波模拟输出信号。 四、实验过程 1,实验原理图 2,实验源程序 #include sbit DAC1_WR1=P2^0; sbit DAC2_WR1=P2^1; sbit DAC_SW1=P2^2; sbit DAC_SW2=P2^3;

数模转换DAC0832的应用(含电路和源程序)

[实验要求] 通过用单片机控制DAC0832输出锯齿波,让实验板上发光二极管D12由暗到亮变化,循环下去。[实验目的] 学会用单片机控制数模转换芯片DAC0832。 DAC0832:DAC0832是8位全MOS中速D/A 转换器,采用R—2RT 形电阻解码网络,转换结果为一对差动电流输出 [实验要求] 通过用单片机控制DAC0832输出锯齿波,让实验板上发光二极管D12由暗到亮变化,循环下去。 [实验目的] 学会用单片机控制数模转换芯片DAC0832。 DAC0832:DAC0832是8位全MOS中速D/A 转换器,采用R—2RT 形电阻解码网络,转换结果为一对差动电流输出,转换时间大约为1us。使用单电源+5V―+15V供电。参考电压为-10V-+10V。在此我们直接选择+5V 作为参考电压。DAC0832 有三种工作方式:直通方式,单缓冲方式,双缓冲方式;在此我们选择直通的工作方式,将XFER WR2 CS 管脚全部接数字地。管脚8 接参考电压,在此我们接的参考电压是+5V。我们在控制P0口输出数据有规律的变化将可以产生三角波,锯齿波,梯型波等波形了。 [硬件电路] [源代码]

//TX-1BDA测试程序,下载后可观察到D13发光二极管由暗变亮再熄//灭过程, #include sbit wela=P2^7; //数码管位选 sbit dula=P2^6; //段选 sbit dawr=P3^6; //DA写数据 sbit csda=P3^2; //DA片选 unsigned char a,j,k; void delay(unsigned char i) //延时 { for(j=i;j>0;j--) for(k=125;k>0;k--); } void main() { wela=0; dula=0; csda=0; a=0; dawr=0; while(1) { P0=a; //给a不断的加一,然后送给DA delay(50); // 延时50ms 左右,再加一,再送DA。 a++; } } 注意:随着给DA送的数字量的不断增加,其转换成模拟量的电流也不断的增大,所以我们观察发光二极管D12就会从暗变亮,熄灭。。。

接口芯片dac0832的应用三角波、梯形波两种波形

课程设计(论文)
课程名称: 微型计算机组成原理与接口技术
组 别:
第十组
题 目: 接口芯片 DAC0832 的应用
院 (系): 信息与控制工程系
专业班级: 电子信息科学与技术 1202
姓 名:
学 号:
指导教师:
2015 年 月 日

西安建筑科技大学华清学院 《微机原理与接口技术》课程设计(论文)任务书
专业班级:电子信息科学与技术1202学生姓名:
一、课程设计(论文)题目
指导教师(签名):
波形发生器的设计 二、本次课程设计(论文)应达到的目的
基于 Proteus 软件,绘制 8086 微处理器和 DAC0832 外围电路,实 现波形发生器的设计。
三、本次课程设计(论文)任务的主要内容和要求(包括原始数据、技术 参数、设计要求等)
1、利用 Proteus 软件绘制由 8086 微处理器和 DAC0832 构造波形发生器; 2、利用汇编语言编写程序实现三角波、梯形波两种波形; 3、综合调试实现仿真功能。 四、应收集的资料及主要参考文献: 1.刘乐善.微型计算机接口技术及应用[M].武汉:华中科技大学出版社, 2000 2.陈红卫.微型计算机基本原理与接口技术[M].北京:科学出版社,2003 3.顾晖,梁惺彦等.基于8086和Proteus仿真[M].北京:电子工业出版社, 2011.

五、审核批准意见
教研室主任(签字)

摘要
D/A 转换器即 DAC 是指把输入的数字信号量信息转换成为对应的模拟量信 号输出。本次课设是采用 DAC0832 波形发生器来设计和实现,系统利用 8086 作 为系统的核心来控制整个电路,加上 74154TTL 4 线—16 线译码器和 74273TTL 带公共时钟复位八 D 触发器以及 7427TTL3 输入端三或非门等器件的使用来完成 整个电路的设计,从而实现三角波,方波,锯齿波和阶梯波等波形。
关键字:DAC0832 波形发生器

应用笔记--DAC0832双电源

DAC0832双电源使用调试心得 2012-1-12 经过了一两天的制版和软件的调试,DAC0832的双电源的D/A转化已经完成,成功输出三角波。下面来分享自己的制作经历。 先贴下原理图和PCB:

设计的时,考虑布线的方便,8个数据线的顺序是打乱的,实现了真正的单面板,没有一根跳线的。 下面介绍下这块芯片的基本原理:8位并行、中速(建立时间1us)、电流型、低廉(10-20元)。 图1.1内部的控制方式(摘录DAC0832的Datasheet) 图1.2相应电路的具体介绍 在这次的实际使用时第8号脚(Vref)接的是负电源,可以用图1.2的电路理解,运放的负端和正端因为虚端而成零电位,因此运放的负端经过T型电阻网络向Vref(负电压) (Vo-V-)/Ro=(V- -Vref)/R 流出电流Ia,则Vo经过Ro向运放负端流出电流Ib,明显,Ia=Ib,由 可得,Vo输出为正电压。在这里,我们使用了反相放大电路,可得到的是Vo是正电压。如果你觉得还有点奇怪的话,那说明你还要看下模电书本了!还有,这里如果我们是从Vref 端经T型电阻网络向运放负端流电流,则必有运放负端经过Ro向输出Vo流出电流,这样的画输出就成负电压(运放也是双电源供电)!双电源电路实现的原理介绍就到这边。 在自己的制作过程中因自己的一个小马虎而使我调试了差不多一天。我是用Atmega16编的软件的三角波的程序,实现电压的0V~5V的三角波。程序在这边就不献丑了,这几十条代码。因为我的板子上的接口的数据线(D0~D7)排列是无序的,使我在与单片机的引脚连接时有点眼花缭乱。刚开始写好的三角波的程序一直不能实现标准的三角波,用示波器看

元器件dac0832的介绍

日志档案 发表于 2008/5/1 14:58:23 标签:DA0832 DAC0832应用--推荐 1.芯片说明: dac0832应用电路图: DAC0832引脚功能说明: DI0~DI7:数据输入线,TLL电平。 ILE:数据锁存允许控制信号输入线,高电平有效。CS:片选信号输入线,低电平有效。 WR1:为输入寄存器的写选通信号。 XFER:数据传送控制信号输入线,低电平有效。WR2:为DAC寄存器写选通输入线。 Iout1:电流输出线。当输入全为1时Iout1最大。Iout2: 电流输出线。其值与Iout1之和为一常数。Rfb:反馈信号输入线,芯片内部有反馈电阻.

Vcc:电源输入线 (+5v~+15v) Vref:基准电压输入线 (-10v~+10v) AGND:模拟地,摸拟信号和基准电源的参考地. DGND:数字地,两种地线在基准电源处共地比较好. 采用ADC0809实现A/D转换。 (一) D/A转换器DAC0832 DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。如图4-82所示,它由倒T型R-2R电阻网络、模拟开关、运算放大器和参考电压VREF四大部分组成。运算放大器输出的模拟量V0为: 图4-82

由上式可见,输出的模拟量与输入的数字量()成正比,这就实现了从数字量到模拟量的转换。 一个8位D/A转换器有8个输入端(其中每个输入端是8位二进制数的一位),有一个模拟输出端。输入可有28=256个不同的二进制组态,输出为256个电压之一,即输出电压不是整个电压范围内任意值,而只能是256个可能值。图4-83是DAC0832的逻辑框图和引脚排列。 图4-83 D0~D7:数字信号输入端。 ILE:输入寄存器允许,高电平有效。 CS:片选信号,低电平有效。 WR1:写信号1,低电平有效。 XFER:传送控制信号,低电平有效。 WR2:写信号2,低电平有效。 IOUT1、IOUT2:DAC电流输出端。 Rfb:是集成在片内的外接运放的反馈电阻。

DAC电路原理

MCS-51单片机的系统扩展技术(五) 5 数——模转换接口 在工作控制和智能化仪表中,通常由微型计算机进行实时控制及实时数据处理。计算机所加工的信息总是数字量,而被控制或测量对象的有关参量往往是连续变化的模拟量,如温度、速度、压力等等,与此对应的电信号是模拟电信号。计算机要处理这种信号,首先必须将模拟量转换成数字量,这一转换过程就是“模——数转换(A/D)”。 由计算机运算处理的结果(数字量)往往也需要转换为模拟量,以便控制对象,这一过程即为“数模转换”(D/A)。 A/D、D/A转换技术发展极为迅速,目前常用的A/D或D/A芯片种类也非常多,本教程介绍的是比较经典的一些芯片的用法,目的在于帮助读教掌握这类芯片接口的一般方法,以及进一步理解数字系统和模拟系统的区别。当然,这些芯片本身也有一定的实用价值。 一、DAC电路原理 D/A转换是将数字量信号转换成模拟量信号的过程。D/A转换的方法比较多,这里仅举一种权电阻D/A转换法的方法,说明D/A转换的过程。 权电阻D/A转换电路实质上是一只反相求和放大器,图22是4位二进制D/A转换的示意图。电路由权电阻、位切换开关、反馈电阻和运算放大器组成。 图22 D/A转换的原理 权电阻的阻值按8:4:2:1的比例配置,按照运放的“虚地”原理,当开关D3-D0合上时,流经各权电阻的电流分别是V R/8R、V R/4R、V R/2R和V R/R。其中V R为基准电压。而这些电流是否存在则取决于开关的闭合状态。输出电压则是: VO=-(D3/R+D2/2R+D1/4R+D0/8R)×V R×R F 基中D3-D0是输入二进制的相应位,其取值根据通断分别为0或1。显然,当D3-D0在0000-1111范围内变化时,输出电压也随这发生变化,这样,数字量的变化就转化成了电压(模拟量)的变化了。这里,由于仅有4位开关,所以这种变化是很粗糙的,从输出电压为0到输出电压为最高值仅有16档。显然,增加开关的个数和权电阻的个数,可以将电压的变化分得更细。一般,至少要有8个开关才比较实用。8个开关,就意味着输出量从最小(0)到最大一共被分成256档了。 上面的这种D/A转换技术对于权电阻的精度要求是相当高的,由于权电阻的大小并不

DAC0832使用手册

DAC0832是采样频率为八位的D/A转换器件,下面介绍一下该器件的中文资料以及电路原理方面及应用的知识。 DAC0832内部结构资料:芯片内有两级输入寄存器,使DAC0832具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。D/A转换结果采用电流形式输出。要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。运放的反馈电阻可通过RFB端引用片内固有电阻,海可以外接。 该片逻辑输入满足TTL电压电平范围,可直接与TTL电路或微机电路相接,下面是芯片电路原理图

DAC0832引脚图和内部结构电路图 电路图如上图所示,此接法是用DAC0832的直通方式,只要二进制数据送到DAC0832的数据口,则会自动把数据转为相应的电压.但运放是如图的电压则输出一般不可能达到基准电压.要想达到基准电压则要提高运放的电压.当基准为负是,只要提高运放的正电压就可以使输出达到基

准电压了,当基准为正是,则为提高运放的负电压,一般的运放提高两伏就可以了,但不同的运放会有些区别. 程序如下: 1.输出固定电压的程序 #include "reg51.h" void DAC0832(unsigned char x) { P2=x; } void main() { DAC0832(255); while(1){ ;} } 2.输出三角波与正弦波程序. #include unsigned char flag; //波型输出标置变量 bit time; unsigned char sin(unsigned char x) {

DAC0832详解

DAC0832是8分辨率的D/A转换集成芯片。与微处理器完全兼容。这个DA芯片以其价格低廉、接口简单、转换控制容易等优点, 在单片机应用系统中得到广泛的应用。D/A转换器由8位输入锁 存器、8位DAC寄存器、8位D/A转换电路及转换控制电路构成。 DAC0832的主要特性参数如下: * 分辨率为8位; * 电流稳定时间1us; * 可单缓冲、双缓冲或直接数字输入; * 只需在满量程下调整其线性度; * 单一电源供电(+5V~+15V); * 低功耗,20mW。 DAC0832结构: * D0~D7:8位数据输入线,TTL电平,有效时间应大于90ns(否则锁存器的数据会出错); * ILE:数据锁存允许控制信号输入线,高电平有效;

* CS:片选信号输入线(选通数据锁存器),低电平有效; * WR1:数据锁存器写选通输入线,负脉冲(脉宽应大于500ns)有效。由ILE、CS、WR1的逻辑组合产生LE1,当LE1为高电平时,数据锁存器状态随输入数据线变换,LE1的负跳变时将输入数据锁存; * XFER:数据传输控制信号输入线,低电平有效,负脉冲(脉宽应大于500ns)有效; * WR2:DAC寄存器选通输入线,负脉冲(脉宽应大于500ns)有效。由WR2、XFER的逻辑组合产生LE2,当LE2为高电平时,DAC寄存器的输出随寄存器的输入而变化,LE2的负跳变时将数据锁存器的内容打入DAC寄存器并开始 D/A转换。 * IOUT1:电流输出端1,其值随DAC寄存器的内容线性变化; * IOUT2:电流输出端2,其值与IOUT1值之和为一常数; * Rfb:反馈信号输入线,改变Rfb端外接电阻值可调整转换满量程精度; * Vcc:电源输入端,Vcc的范围为+5V~+15V; * VREF:基准电压输入线,VREF的范围为-10V~+10V; * AGND:模拟信号地 * DGND:数字信号地 DAC0832的工作方式: 根据对DAC0832的数据锁存器和DAC寄存器的不同的控制方式,DAC0832有三种工作方式:直通方式、单缓冲方式和双缓冲方式。 DAC0832引脚功能电路应用原理图DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图:D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL电平,可直接与TTL电路或微机电路连接。 dac0832应用电路图 dac0832应用电路图:

dac0832引脚图电路及程序

dac0832引脚图电路及程序 DAC0832是采样频率为八位的D/A转换器件,下面介绍一下该器件的中文资料以及电路原理方面的知识。 DAC0832内部结构资料:芯片内有两级输入寄存器,使DAC0832具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。D/A转换结果采用电流形式输出。要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。运放的反馈电阻可通过RFB端引用片内固有电阻,海可以外接。 该片逻辑输入满足TTL电压电平范围,可直接与TTL电路或微机电路相接,下面是芯片电路原理图 DAC0832引脚图和内部结构电路图 电路图如上图所示,此接法是用DAC0832的直通方式,只要二进制数据送到DAC0832的数据口,则会自动把数据转为相应的电压.但运放是如图的电压则输出一般不可能达到基准电压.要想达到基准电压则要提高运放的电压.当基准为负是,只要提高运放的正电压就可以使输出达到基准电压了,当基准为正是,则为提高运放的负电压,一般的运放提高两伏就可以了,但不同的运放会有些区别.

程序如下: 1.输出固定电压的程序 #include "reg51.h" void DAC0832(unsigned char x) { P2=x; } void main() { DAC0832(255); while(1){ ;} } 2.输出三角波与正弦波程序. #include unsigned char flag; //波型输出标置变量 bit time; unsigned char sin(unsigned char x) { unsigned char code sin_tab[]={125,128,131,134,138,141,144,147,150,153,156,159, 162,165,168,171,174,177,180,182,185,188,191,193,196,198,201,203, 206,208,211,213,215,217,219,221,223,225,227,229,231,232,234,235, 237,238,239,241,242,243,244,245,246,246,247,248,248,249,249,250, 250,250,250,250,250,250,250,249,249,248,248,247,246,246,245,244, 243,242,241,239,238,237,235,234,232,231,229,227,225,223,221,219, 217,215,213,211,208,206,203,201,198,196,193,191,188,185,182,180, 177,174,171,168,165,162,159,156,153,150,147,144,141,138,134,131, 128,125,122,119,116,112,109,106,103,100,97,94,91,88,85,82,79,76,73, 70,68,65,62,59,57,54,52,49,47,44,42,39,37,35,33,31,29,27,25,27,29,27,25,23,21, 19,18,16,15,13,12,11,9,8,7,6,5,4,4,3,2,2,1,1,0,0,0,0,0,0,0,0,1,1,2, 2,3,4,4,5,6,7,8,9,11,12,13,15,16,18,19,21,23,25,27,29,31,33,35,37, 39,42,44,47,49,52,54,57,59,62,65,68,70,73,76,79,82,85,88,97,94,97, 100,103,106,109,112,116,119,122}; return sin_tab[x]; } void DAC0832(unsigned char x) { P2=x; } void main() { unsigned char i; TMOD=0X02; //定时器0用于控制输出波的频率

微机原理课程设计—dac0832波形发生器汇总

二○一四~二○一五学年第一学期 信息科学与工程学院 自动化系 课程设计报告 课程名称:微机原理及应用课程设计班级:自动化1204班 姓名:田野 学号:201209157043 指导教师:陈国年 时间:2014.12.28

题目:函数发生器1的设计 1.设计任务 用8086做控制器,利用DAC0832设计一个函数发生器,能分别产生方波、阶梯波(每阶梯1V)、锯齿波(正向或负向)和三角波,并利用按键选择(自行定义)输出波形同时将当前输出波形代号显示在LED上:0为方波、1为阶梯波、2为锯齿波、3为三角波。 2.设计要求 波形输出幅值和频率不限(可根据需要考虑增加调频调幅功能),通过8255A 进行按键选择。 3.设计内容 3.1系统方案的设计与选择: (1)数字按键的实现可以通过8255的输入输出端口,定义方式0工作方式,C 口低四位输入信号,B口输出信号,通过按键改变C口电平信号,使输入信号改变,并在在B口输出不同信号,在数码管上显示不同数字; (2)波形的产生则使用8086与DAC0832连接,通过8086给DAC0832输入不同数字信号,在DAC0832的输出端输出波形,波形的观察使用一个放大器连接模拟示波器显示。 3.2系统框图:

3.3系统基本介绍: 此方案是通过并行接口芯片8255A和8086计算机的硬件连接,并通过8086控制DAC0832产生波形相应波形,通过8255A输出信号控制数码管显示不同数字。8255A的C端口有四个按键,按下相应的按键,使输入低电平。 ①按下第一个按键显示数字0,在示波器上产生方波; ②按下第二个按键显示数字1,产生阶梯波; ③按下第三个按键显示数字3,产生锯齿波; ④按下第四个按键显示数字4,产生三角波。 3.4模块功能介绍: 8255A:D0~D7口与8086数据端连接,PB0~PB6与七段共阴极数码管相连接以显示数字,PC0~PC4连接四个按键,实现输入电平的改变。A0、A1接地址 线A1、A2,CS端连接74ls138的片选端。

dac0832中文资料引脚图电路原理

dac0832中文资料引脚图电路原理 DAC0832是采样频率为八位的D/A转换器件,下面介绍一下该器件的中文 资料以及电路原理方面的知识。 DAC0832内部结构资料:芯片内有两级输入寄存器,使DAC0832具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。D/A转换结果采用电流形式输出。要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。运放的反馈电阻可通过RFB端引用片内固有电阻,海可以外接。 该片逻辑输入满足TTL电压电平范围,可直接与TTL电路或微机电路相接, 下面是芯片电路原理图 DAC0832引脚图和内部结构电路图 DAC0832应用电路图

电路图如上图所示,此接法是用DAC0832的直通方式,只要二进制数据送到DAC 0832的数据口,则会自动把数据转为相应的电压.但运放是如图的电压则输出一般不可能达到基准电压.要想达到基准电压则要提高运放的电压.当基准为负是,只要提高运放的正电压就可以使输出达到基准电压了,当基准为正是,则为提高运放的负电压,一般的运放提高两伏就可以了,但不同的运放会有些区别. 程序如下: 1.输出固定电压的程序 #include "reg51.h" void DAC0832(unsigned char x) { P2=x; } void main() { DAC0832(255); while(1){ ;} } 2.输出三角波与正弦波程序. #include unsigned char flag; //波型输出标置变量 bit time; unsigned char sin(unsigned char x) {

8位DA转换器-DAC0832

资料1:8位D/A 转换器-DAC0832 1. 引脚及其功能 DAC0832是双列直插式8位D/A 转换器。能完成数字量输入到模拟量(电流)输出的转换。图1-1和图1-2分别为DAC0832的引脚图和内部结构图。其主要参数如下:分辨率为8位,转换时间为1μs ,满量程误差为±1LSB ,参考电压为(+10~-10)V ,供电电源为(+5~+15)V ,逻辑电平输入与TTL 兼容。从图1-1中可见,在DAC0832中有两级锁存器,第一级锁存器称为输入寄存器,它的允许锁存信号为ILE ,第二级锁存器称为DAC 寄存器,它的锁存信号也称为通道控制信号 /XFER 。 图1-1中,当ILE 为高电平,片选信号 /CS 和写信号 /WR1为低电平时,输入寄存器控制信号为1,这种情况下, 输入寄存器的输出随输入而变化。此后,当 /WR1由低电平 变高时,控制信号成为低电平,此时,数据被锁存到输入寄 存器中,这样输入寄存器的输出端不再随外部数据DB 的变 化而变化。 对第二级锁存来说,传送控制信号 /XFER 和写信号 /WR2同时为低电平时,二级锁存控制信号为高电平,8位的 DAC 寄存器的输出随输入而变化,此后,当 /WR2由低电平 变高时,控制信号变为低电平,于是将输入寄存器的信息锁 存到DAC 寄存器中。 图1-1中其余各引脚的功能定义如下: (1)、DI 7~DI 0 :8位的数据输入端,DI 7为最高位。 (2)、I OUT1 :模拟电流输出端1,当DAC 寄存器中数据 全为1时,输出电流最大,当 DAC 寄存器中数据全 为0时,输出电流为0。 (3)、I OUT2 :模拟电流输出端2, I OUT2与I OUT1的和为一个常数,即I OUT1+I OUT2=常数。 (4)、R FB :反馈电阻引出端,DAC0832内部已经有反馈电阻,所以 R FB 端可以直接接到外部运算放大器的输出端,这样相当于将一个反馈电阻接在运算放大器的输出端和输入端之间。 (5)、V REF :参考电压输入端,此端可接一个正电压,也可接一个负电压,它决定0至255的数字量转化出来的模拟量电压值的幅度,V REF 范围为(+10~-10)V 。V REF 端与D/A 内部T 形电阻网络相连。 (6)、Vcc :芯片供电电压,范围为(+5~ 15)V 。 (7)、AGND :模拟量地,即模拟电路接地端。 (8)、DGND :数字量地。

基于单片机和dac0832的波形发生器

基于单片机和dac0832的波形发生器 目录 一、内容摘要 二、设计任务 三、元器件说明 四、硬件电路设计 五、程序编译 一、内容摘要 波形发生器是一种常用的信号源,广泛的应用于电子电路、自动控制系统和教学实验等领域,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要有信号源。由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察。测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中

最基本、应用最为广泛的一类电子仪器。它可以产生多种波形信号,如方波、锯齿波、三角波等,因而广泛应用于通信、雷达、导航、宇航等领域。 本次课程设计使用的AT89C51单片机构成的发生器可产生三角波,正弦波和方波,波形的周期可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。此设计给出了源代码,通过仿真测试,其性能指标达到了设计要求。 二、设计任务 利用DAC0832输出正弦波信号(用示波器观察输出波形),初始频率为50Hz,变频采用“+”、“-”键控制,实时测量输出信号的频率值,并分析和实测输出信号的频率范围。具体完成以下任务: 1.完成系统的方案设计,给出系统框图。 2.完成系统的硬件设计,给出硬件电路图和系统资源分配表。 3.完成系统的软件设计,给出程序流程图和程序编写。 4.运用Proteus仿真软件对所设计的系统进行调试和仿真, 直到预定的功能全部仿真通过,给出仿真结果。 5.准确、高质量地进行印刷电路板的焊接。 6.完成课程设计报告。 三、元器件说明 知识简介:

dac0832应用电路图

dac0832应用电路图: DAC0832引脚功能说明: DI0~DI7:数据输入线,TLL电平。 ILE:数据锁存允许控制信号输入线,高电平有效。 CS:片选信号输入线,低电平有效。 WR1:为输入寄存器的写选通信号。 XFER:数据传送控制信号输入线,低电平有效。 WR2:为DAC寄存器写选通输入线。 Iout1:电流输出线。当输入全为1时Iout1最大。 Iout2: 电流输出线。其值与Iout1之和为一常数。 RFb:反馈信号输入线,芯片内部有反馈电阻. Vcc:电源输入线 (+5v~+15v) Vref:基准电压输入线 (-10v~+10v) AGND:模拟地,摸拟信号和基准电源的参考地. DGND:数字地,两种地线在基准电源处共地比较好. 采用ADC0809实现A/D转换。 (一)D/A转换器DAC0832 DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。如图4-82所示,它由倒T型R-2R 电阻网络、模拟开关、运算放大器和参考电压VREF四大部分组成。运算放大器输出的模拟量V0为:

图4-82 由上式可见,输出的模拟量与输入的数字量()成正比,这就实现了从数字量到模拟量的转换。 一个8位D/A转换器有8个输入端(其中每个输入端是8位二进制数的一位),有一个模拟输出端。输入可有28=256个不同的二进制组态,输出为256个电压之一,即输出电压不是整个电压范围内任意值,而只能是256个可能值。图4-83是DAC0832的逻辑框图和引脚排列。 图4-83 D0~D7:数字信号输入端。 ILE:输入寄存器允许,高电平有效。 CS:片选信号,低电平有效。 WR1:写信号1,低电平有效。 XFER:传送控制信号,低电平有效。 WR2:写信号2,低电平有效。

相关主题
文本预览
相关文档 最新文档