当前位置:文档之家› 数字逻辑--数字频率计的设计

数字逻辑--数字频率计的设计

数字逻辑--数字频率计的设计
数字逻辑--数字频率计的设计

滁州学院

课程设计报告

课程名称:数字逻辑课程设计

设计题目:数字频率计的设计

系别:网络与通信工程系

专业:网络工程

组别:第四组

起止日期: 2012年5月28日~ 2012年6月 22日指导教师:

计算机与信息工程学院二○一二年制

课程设计任务书

目录

1 引言 (1)

2 设计要求 (1)

2.1题目 (1)

2.2系统结构要求 (1)

2.3制作要求 (1)

2.4扩展指标 (1)

2.5运行环境 (1)

2.6设计条件 (1)

2.7元件介绍 (2)

①计数显示器 (2)

② 74160N (3)

③ 7473N (4)

④ XFG1 (5)

3 整体设计方案 (5)

4 详细分析 (6)

4.1单元电路设计 (6)

4.2控制电路 (6)

4.3关于JK触发器 (7)

4.4测试 (8)

5 调试与操作说明 (9)

5.1第一次仿真 (9)

5.2第二次仿真 (10)

5.3第三次仿真 (11)

5.4第四次仿真 (11)

6 课程设计总结 (12)

7 致谢 (12)

8 参考文献 (13)

1 引言

数字频率计是近代电子技术领域的重要测量工具之一,同时也是其他许多领域广泛应用的测量仪器。数字频率计是在基准时间内把测量的脉冲数记录下来,换算成频率并以数字的形式显示出来。数字频率计应用于测量信号(方波、正玄波或其他周期信号)的频率,并用十进制数显示。它具有精度高、测量速度快、读数直观、使用方便等优点。

2 设计要求

2.1题目

频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。

①频率测量范围:1HZ~10HZ。

②数字显示位数:四位静态十进制数显示被测信号的频率。

2.2系统结构要求

数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目—频率、周期或脉宽,若测量频率则进一步选择档位

2.3制作要求

①被测信号波形:正弦波、三角波和矩形波。

②测量频率范围:1Hz~10kHz。

③测量周期范围:0.1ms~1s。

④测量脉宽范围:0.1ms~1s。

⑤测量精度:显示4有效数字(要求分析1Hz、1kHz和10kHZ测量误差)。

2.4 扩展指标

要求测量频率值时,1Hz~10z的精度均为±1。

2.5 运行环境

软件环境:windows XP Multisim 10。

硬件环境:微型计算机。

2.6 设计条件

①电源条件:+5V。

②可供选择的元器件范围如表2-2-1所示:

表2-2-1 所需原件列表

2.7元件介绍

①计数显示器

该元件本质为显示译码器,人们直接利用译码器驱动显示器,因此人们就把这种类型的译码器叫做显示译码器,也就是我们通常说的显示器。

如图2-2-1所示

图2-2-1 计数显示器

其功能如表2-2-2所示

表 2-2-2 计数器工作原理

② 74160N

74160N是一种十进制的加法计数器,在本设计中由于仿真时受原件的限制,这里只使用计数芯片74160,且要求显示四位,四个计数器74160N可以组成分频器。

如图2-2-2所示

图2-2-2 74160N

其工作原理如表2-2-3所示

表2-2-3 74169N\的工作原理

MR CP CEP CET PE DN QN TC

0 X X X X X 0 0 Reset(clear)

1 . X X ︱︱0 0

1 . X X ︱H 1 (1) Parallel load

1 . h h h X count (1) Count

1 X ︱X h X q (1) Hold (Do nothing)

1 X X ︱h X q 0

③ 7473N

7473N的主要功能由JK触发器实现,当JK触发器的J、K端同时接高电平时,输出端的状态会随着每输入一个脉冲改变一次。因此JK触发器输入端的频率是输出端的两倍,这就是通常认为的二分频。将输入端加到下一个JK触发器的时钟端又可实现频率的再次二分频,以此类推可实现频率的逐次分频。

图2-3 7473N

其功能如下表2-2-4所示

表2-2-4 7473N的工作原理

④ XFG1

函数发生器可以产生正弦波扫三角波和矩形波,信号频率可以再1HZ到999MHZ范围内调整,信号的幅值以及占空比等参数也可以根据需要进行调节,信号发生器有三个引线端口:负极、正极和公共端,函数信号发生器的图标和面板如图2-2-4所示

图2-2-4 XFG1

3 整体设计方案

数字频率计一般由振荡器、分频器、放大整形器、控制电路、计数译码显示电路等部分组成。由振荡器的振荡电路产生一标准频率信号,经分频器分频得到控制脉冲。控制脉冲经过控制器中的门电路分别产生选通脉冲、锁存信号、清零信号。待测信号经过限幅、运放的放大、施密特整形之后,输出一个与待测信号同频率的矩形脉冲信号,该信号与锁存信号和清零信号共同控制计数、锁存和清零三个状态,然后通过数码显示器件显示。

数字频率计的原理框图如图3-1所示:

图3-1数字频率计的原理框图

4 详细分析

4.1单元电路设计

选用带译码器的集成十进制计数芯片CD40110,该芯片有锁存控制器端,可对计数进行锁存。计数部分只显示锁存后的数据,每锁定一次计数部分跳动一次,更新数据,如此反复。由于仿真时受元件的限制,这里仅使用计数芯片74160,且要求显示四位,因此使用4组74160和数码管。

将各计数器的LOAD、ENP、ENT分别接高电平,个位的CLK端外接技术信号,低位的进位端接高电位的CLK端,各芯片的CLK端连接起来外接清零信号,4个输出端接数码管,以此实现一个能显示4位十进制的计数器。连接后电路如图4-1所示:

4.2控制电路

控制电路时整个数字频率计正常工作的核心部分,需仔细分析各种频率信号(技数、选通、锁存、清零)的时序关系,一最终控制计数译码器显示电路的工作状态。由于功能要求识别的最小频率是1HZ,因此将选通信号的高电平时间定为1s,在这个时间段内允许带测信号输入进行计数,锁存和清零信号的输出均为高电平。在选通信号为低电平是关闭闸门,计数停止,处于数据锁存的时间段,此时的锁存信号为低电平,清零信号仍为高电平,直到选通信号的下一个高电平到来前(开始下一个计数),清零信号端输出一个低电平时现数码管显示的清零,准备进入下一个计数周期。如此往复,以实现待测信号频率的反复测量。

这几个信号的工作时序如图4-2所示:

图4-2控制电路各频率信号时序关系

4.3关于JK触发器

当JK触发器的J、K端同时接高电平时,输出端的状态会随着每输入一个脉冲改变一次。因此JK触发器输入端的频率是输出端的两倍,这就是通常认为的二分频。将输入端加到下一个JK触发器的时钟端又可实现频率的再次二分频,以此类推可实现频率的逐次分频。电路连接和工作时序如图4-3与4-4所示:

图4-3 JK触发器分频电路

图4-4工作时序图

4.4测试

创建如下图4-5所示电路,根据控制电路各信号时序分析得知,选通信号的周期应大于等于锁存信号和清零信号,因此选用上述电路的Q2作为选通信号的输出端。假定选通信号的高电平时间为1s,那Q2端的频率应为0.5HZ,由此可推出CP端和Q1端的信号频率为2HZ和1HZ。在Q2端的选通信号为高电平时,允许计数,频率计开始工作。当Q2端进入低电平段,频率计为锁存阶段,直至下一个Q2端高电平到来前,CP、Q1、Q2端均为低电平,可以考虑用一个3输入的或门将这三个端口连接,输出一个低电平作为清零信号,加到计数译码显示电路的CLR 端。由此得到选通信号周期为2s,计数时间为1s,锁存时间为0.75s,清零时间为0.25s。如果对上述时间不满意,还可以通过改变JK触发器的输入时钟频率或者用不同的门电路连接CP、Q1、Q2端来构成计数、锁存和清零信号,建构过程中只要把握好CP、Q1、Q2三者的时序关系即可。

图4-5 JK触发器构成的数字频率计电路原理图

5 调试与操作说明

JK触发器构成的数字频率计仿真。接入2 Hz的时钟信号源作为控制电路的时钟脉冲,同时在待测新号端接上函数信号发生器。任意设定函数信号发生器的波形(正弦波、方波、三角波),并改变每种波形的频率(9Hz、99Hz、999Hz、9999Hz),启动仿真开关进行仿真,可以看到无论何种波形都能准确的显示函数信号发生器频率。

下面进行操作:仿真分为四档即(9Hz、99Hz、999Hz、9999Hz)

5.1第一次仿真:

将设定的函数信号设定频率为9Hz,打开仿真开关测试的结果如图5-1所示:

图5-1第一次仿真结果

5.2第二次仿真:

将设定的函数信号设定频率为99Hz,打开仿真开关测试的结果如图5-2所示:

图5-2第二次仿真结果

5.3第三次仿真:

将设定的函数信号设定频率为999Hz,打开仿真开关测试的结果如图5-3所示:

图5-3 第三次仿真

5.4第四次仿真:

将设定的函数信号设定频率为9999Hz,打开仿真开关测试的结果如图5-4所示:

图5-4第四次仿真

从以上四次仿真可以看出每次仿真都能精确的显示已经设定好的函数信号发生器的频率。说明实验的测试、仿真成功。

6 课程设计总结

课程的课程设计实际是网络工程专业学生学习完《数字电子技术基础》课程后进行的一次全面的综合训练。其目的在于加深对数字电子技术基础理论和基本知识的理解,掌握运用数字电子技术基础合理论知识设计一些简单的基本应用电路的方法。虽说整个课设过程很辛苦,可是我们从中找到了乐趣、接触了实践,以前不是特别明白的地方也通过实践弄懂了。总的来说这次课程设计使我们感受到了理论与实践相结合的目的及其重要意义。不但使我对所掌握的数字电子技术基础知识有了更深刻的认识,还提高了我的动手查阅资料的能力而且还锻炼了自己的独立思考能力。设计思路是最重要的,只要你的设计思路是成功的那你的设计已经成功了一半。因此我们应该在设计前做好充分的准备,像查找详细的资料为我们设计的成功打下坚实的基础。设计简洁、易懂、不超出我们的能力范围的内容不涉及,一些想法在我们没设计此电路之前就已经有拉考虑,但是做完之后发现我们当前考虑的还是有所欠缺,做为一名刚学习完《数字技术基础》的我们来说有一定的难度,但是这对于我们掌握理解学习过的知识有很大的帮助,对于思维、逻辑及其理论知识的运用等多方面有了更加进一步的掌握。在做课设的过程中我们查阅了很多的参考书即相关资料通过参考及运用自己所掌握的知识完成了此次的设计。

7 致谢

感谢姚光顺的指导和几个组员的积极配合,才有此次课程设计的成功。在这里我也感谢所

有给予我们关心帮助的老师,希望以后有更多的机会来锻炼自己的综合素质,为以后的学习、生活打下良好的基础。在这次课设中也暴露了我们的一些缺点,基础知识不够扎实,我们会在以后的日子里加以改正,更好地配合来提高自己综合能力。

8 参考文献

[1] 康光华.《数字电路与设计基础》[M] 高等教育出版社 2011.12

[2] 王连英.《基于MUltisim 10 的电子仿真实验与设计》[M] 北京邮电大学出版社2009.8

[3] 余孟尝.《数字电子技术基础简明教程》[M] 高等教育出版社 2010.3

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑课程设计数字时钟课程设计数电课程设计数字电子技术

数字逻辑课程设计 自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲 究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。然而随着时 间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的

功能。诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。在很多实际应 用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统, 从而应用到实际工作与生产中去。因此,研究数字时钟及扩大其应用,有着非常现实的意义。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路?目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择? 前言 (2) 目录 (2) 题目 (2) 摘要 (2) 关键字 (3) 设计要求 (3) 正文 (3) 1电路结构与原理图 (3) 2数码显示器 (3) 60进制计数和24进制计数 (4) 校时 (7) 振荡器 (8) 3.计算、仿真的过程和结果 (9) 鸣谢 (11) 元器件清单 (11) 参考文献 (11) 总结与体会 (11) 教师评语 (12) 数字时钟的课程设计 摘要: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高 的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前, 数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。本设计采用74LS290. 74LS47.BCD七段数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时 功能地实现?

加减法运算电路设计

电子课程设 ——加减法运算电路设计¥ 学院:电信息工程学院; 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师:闫晓梅 2014年12月 19日

加减法运算电路设计 一、设计任务与要求 # 1.设计一个4位并行加减法运算电路,输入数为一位十进制数, 2.作减法运算时被减数要大于或等于减数。 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 4.系统所用5V电源自行设计。 二、总体框图 1.电路原理方框图: % 图2-1二进制加减运算原理框图 2.分析: 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,

所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 例如: 若选择加法运算方式,则(1001) 2+(0111) 2 =(10000) 2 十进制9+7=16, 并在七段译码显示器上显示16; 若选择减法运算方式,则(1001) 2-(0111) 2 =(00010) 2 十进制9-7=2, 并在七段译码显示器上显示02。 三、选择器件 ~ 1.器件种类: } ^ 表3-1 2.重要器件简介: (1)[ (2). 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。 1).74LS283 基本特性:供电电压:输出高电平电流:输出低电平电流: 8mA。 2).引脚图:

数字逻辑和设计基础 期末复习题

1、采用3-8线译码器74LS138和门电路构成的逻辑电路如图所示,请对该电路进行分析,写出输出方程,并化解为最简与-或式。(10分) 1、解:分析此图,可知:F1=0134m m m m +++, F2=4567m m m m +++ 化简过程:由卡诺图及公式化简均可,此处略 化简得:1F A C BC =+(2分) 2F A = 2.已知逻辑函数: F ABC ABC ABC ABC ABC =++++,试用一片4选1数据选择器和门电路实现该逻辑函数,要求采用代数法,写出设计全过程,并画出电路图。 (10分) A 1 ST Y D 0D 1D 2D 3 A 0 ① 写出逻辑函数F 的表达式(2分) ==F A B C AB C ABC A BC ABC A B C AB C C A BC ABC A B C AB A BC ABC =+++++++++++() ② 写出4选1数据选择器输出端逻辑函数Y 的表达式(2分) 100101102103Y A A D A A D A A D A A D =+++ ③令 10A A A ==、B ,比较F 和Y 两式可得: (2分)

01231D C D D D C ==== ④ 根据上式画出的逻辑图。(4分) 五、 画出下列各触发器Q 端的波形:(设Q n = 0)(10 分,每小题5 分) 1、已知JK 触发器输入信号J 和K 、时钟脉冲CP 、异步置位端D R 和D S 的波形如下图 所示,试画出触发器输出端Q 的波形,设初始状态为0。(5分) Q CP J S D D K J 2、下图由边沿D 触发器构成的触发器电路,设其初始状态为0。输入信号如右 图所示,试画出Q 端的输出波形。(5分) CP Q D R D

数字逻辑课程设计报告

数字逻辑课程设计报告

数字逻辑课程设计 多功能数字钟 班级: 学号: 课程设计人: 指导老师: 课题: 完成时间:

一、设计目的: 学会应用数字系统设计方法进行电路设计,熟练地运用汇编语言。 二、设计任务及要求: 1.记时、记分、记秒 2.校时、校分、秒清0 3.整点报时 4.时间正常显示 5.闹时功能 三、设计思路: 将整个闹钟分为以下几个模块,每个模块中都有详细的各部分的设计思路,源代码及仿真图像,生成的器件。 1.计时模块 计小时:24进制计数器 计分、计秒:60进制计数器 计时间过程: 计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号。 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位。 计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 二十四进制计数器代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt24 is port (clk:in std_logic; qh,ql:out std_logic_vector(3 downto 0)); end cnt24; architecture behave of cnt24 is signal q1,q0:std_logic_vector(3 downto 0); begin process(clk) begin if(clk'event and clk='1')then if(q1="0010" and q0="0011")then q1<="0000";q0<="0000"; elsif(q0="1001")then q0<="0000";q1<=q1+'1'; else q0<=q0+'1'; end if; end if; qh<=q1; ql<=q0;

设计一个一位十进制加减法++数字电路课程设计报告

课程设计报告 课程:微机系统与接口课程设计学号: 姓名: 班级: 教师:

******大学 计算机科学与技术学院 设计名称:设计一个一位十进制加减法器 日期:2010年1月 23日 设计内容: 1、0-9十个字符和“+”“-”分别对应一个按键,用于数据输入。 2、用一个开关控制加减法器的开关状态。 3、要求在数码显示管上显示结果。 设计目的与要求: 1、学习数字逻辑等电路设计方法,熟知加减法器、编码器、译码显示的工作原理及特点; 2、培养勤奋认真、分析故障和解决问题的能力。 设计环境或器材、原理与说明: 环境:利用多功能虚拟软件Multism8进行电路的制作、调试,并生成文件。器材:74LS283或者4008, 4个异或门(一片74LS86)(减法);74LS08,3输入或门(加法) 设计原理: 图1二进制加减运算原理框图 分析:如图1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,

所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 设计过程(步骤)或程序代码: 实验电路: 1:减法电路的实现: (1):原理:如图1所示(如下),该电路功能为计算A-B。若n位二进制 原码为N 原,则与它相对应的补码为N 补 =2n-N 原 ,补码与反码的关系式为N 补 =N 反 +1, A-B=A+B 补-2n=A+B 反 +1-2n (2):因为B○+1= B非,B○+0=B,所以通过异或门74LS86对输入的数B求 其反码,并将进位输入端接逻辑1以实现加1,由此求得B的补码。加法器相加的结果为: A+B 反 +1, (3):由于2n=24=(10000) 2 ,相加结果与相2n减只能由加法器进位输出信号完成。当进位输出信号为1时,它与2n的差为0;当进位输出信号为0时,它与2n差值为1,同时还要发出借位信号。因为设计要求被减数大于或等于减数,所以所得的差值就是A-B差的原码,借位信号为0。

2011数字逻辑设计大作业题目

数字逻辑设计大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,不能超过3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值均为三分钟,采用倒计时方式。通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时。同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时。 (2)超时能发出报警判负。 (3)累计时间设置可以改变。 注:附加功能根据本人能力自行添加 题目4:出租车计费器的设计 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。 [设计要求] (1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。 (2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(参考)

数字逻辑考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) 101 0101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C ) A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。

数字逻辑课程设计-数字时钟

数字逻辑课程设计实验报告 题目数字钟 姓名桂大有 班级网络工程103班 学号109074360 指导教师陆勤 完成日期2012年5月21日

数字钟的设计 1.数字钟的功能描述 (1)计时和显示功能 采用24小时计时并以十进制数字显示时、分、秒(时从00-23,分、秒从00-59)。 (2)校对动能 当数字时钟走的有偏差时,应能够手动校时。 2.数字钟的设计思路 根据功能要求,整个数字时钟分为计时和校时两大部分。 计时部分秒计时电路接收1Hz时基信号,进行60进制计数,计满后秒值归0,并产生1/60Hz时钟信号;分钟计时电路接受1/60Hz时钟信号,进行60进制计数,计满后分钟值归0,并产生1/3600Hz时钟信号,小时计时电路接收1/3600Hz时钟信号,进行24小时计数,计满后小时、分、秒皆归0,如此循环往复。 校时部分,采用两个瞬态按键配合实现,1号键产生单脉冲,控制数字钟在计时/校时/校分/校秒四种状态间切换,2号键通过控制计数使能端让时/分/秒计数器发生状态翻转以达到指定的数值。 3.系统功能模块介绍 Ⅰ.模块一:数字钟总体原理电路。 其中包含:(1)分钟、秒计时电路(2)小时计时电路(3)计时/校时的切换

Ⅱ.采用原理图和HDL混合设计方式实现数字钟 ①分钟、秒计时电路 分钟、秒计时需要60进制计数,其电路图如下所示: 该电路图用两片74160采用同步连接构成60进制计数器,通过译码电路识别稳态“59”,输出低电平使计数器置数为0。整个技术循环为00—>01—>02—>…—>58—>59—>00—>…,共有60个稳定状态。计数值采用BCD码形式,Q7~Q4表示分钟或秒的十位,Q3~Q0表示分钟或秒的各位。EN输入端当正常计数状态时接收分钟计时电路的进位输出,,而在校时状态时接收校时脉冲用于控制小时值的翻转。计满进位输出端CO用于触发高一级计数器的技术动作。 ②小时计时电路(采用24时制,电路图如下所示)

加减法运算电路设计

电子课程设 ——加减法运算电路设计 学院:电信息工程学院 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师:闫晓梅 2014年12月19日

加减法运算电路设计 一、设计任务与要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数, 2.作减法运算时被减数要大于或等于减数。 3.led灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算 模式,运算完毕,所得结果亦用数码管显示。 4.系统所用5V电源自行设计。 二、总体框图 1.电路原理方框图: 图2-1二进制加减运算原理框图 2.分析: 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数 9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。

例如: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16,并在七段译码显示器上显示16; 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2,并在七段译码显示器上显示02。 三、选择器件 1.器件种类: 表3-1 2.重要器件简介: (1) . 4位二进制超前进位加法器74LS283:完成加法运算使用该器件。 1).74LS283 基本特性:供电电压: 4.75V--5.25V 输出高电平电流: -0.4mA 输出低电平电流: 8mA 。 2).引脚图: 图3-1 引出端符号: A1–A4 运算输入端 B1–B4 运算输入端 C0 进位输入端 序号 元器件 个数 1 74LS283D 2个 2 74LS86N 5个 3 74LS27D 1个 4 74LS04N 9个 5 74LS08D 2个 6 七段数码显示器 4个 7 74LS147D 2个 8 开关 19个 9 LM7812 1个 10 电压源220V 1个 11 电容 2个 12 直流电压表 1个

数字逻辑设计习题参考答案 (第2,3章)

数字逻辑设计 习题册 班级: 学号: 姓名: 哈尔滨工业大学(威海) 计算机科学与技术学院体系结构教研室

第2章 逻辑代数基础 2—1 填空 1.摩根定理表示为:=?B A _B A + __;=+B A _B A ?__。 2. 函数表达式D C AB Y ++=,则其对偶式为='Y _D C B A ??+)(_______。 3.根据反演规则,若C D C B A Y +++=,则=Y C D C B A ?++)(。 4.函数式CD BC AB F ++=写成最小项之和的形式结果为 ()15,14,113,12,11,7,6,3∑m , 写成最大项之积的形式结果为)10,9,8,5,4,2,1,0(∏M 。 5. (33.33)10 =(100001.0101 )2 =( 41.2 )8 =( 21.5 )16 2—2 证明 1.证明公式()()A BC A B A C +=++成立。 2.证明此公式B A B A A +=+成立。 3.证明此公式)()()()()(C A B A C B C A B A +?+=+?+?+成立。 左边 (由分配律得) 右边 BC A BC B C A BC BA AC AA C A B A +=+++=+++=++)1())((B A A A B B B A B A B A AB AB B A B A AB B A B B A +=+++=+++=++=++=)()()(AC BC A B C A AC B C A C B B A ++=+?+=+ ?+?+=)()()()()(AC BC A B BC A B AC A A ++=+++=

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

加减法运算电路设计

加减法运算电路设计 1.设计内容及要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数,且作减法运算时被减数要大于或等于减数。 2.led 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 3.提出至少两种设计实现方案,并优选方案进行设计 2.结构设计与方案选择 2.1电路原理方框图 电路原理方框图如下 → → 图1-1二进制加减运算原理框图 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 即: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16 并在七段译码显示器上显示16. 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2 置数 开关选择运算方式 加法运算电路 减法运算 电路 译码显示计算结果 显示所置入的两个一位十进制数

并在七段译码显示器上显示02. 2.2加减运算电路方案设计 2.2.1加减运算方案一 如图2-2-1所示:通过开关S2——S9接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U13和U15分别显示所置入的两个数。数A 直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S6——S9,通过开关S6——S9控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B (反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U11即可显示结果。 2.2.2加减运算方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。 通过在全加器电路中再接入两个反相器可组成一个全减器,实现一位二进制减逻辑运算,将来自低位的错位信号端接到向高位借位的信号端,依次连接四个全减器,构成可实现四位二进制数并行进行逻辑减运算的电路。 在两组电路置数端接开关控制置数输入加法还是减法运算电路,电路输出端接LED灯显示输出结果,输出为五位二进制数。

数字逻辑第一次大作业

数字逻辑第一次大作业

一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭) 输入变量输出变量数码管显 示 A B C D a b c d e f g 0000 1111110 0 000 1 0110000 1 0010 110110 1 2 001 1 111100 1 3 0100 011001 1 4 010 1 101101 1 5 0110 101111 1 6 011 1 1110000 7 1000 111111 1 8 100 1 111101 1 9 1010 111011 1 A 101 1 001111 1 B 1100 1001110 C 110 1 011110 1 D 1110 100111 1 E 111 1 100011 1 F 二.卡诺图化简: A B C D a 0000 1 000 1 0 0010 1 001 1 1 0100 0 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 1 110 1 0 1110 1 AB CD 00 01 11 10 00 1 0 1 1 01 0 1 0 1 11 1 1 1 0 10 1 1 1 1 Fa=B?D?+A?BD+A B?C?+A?C+BC+A D?

111 1 1 A B C D b 0000 1 000 1 1 0010 1 001 1 1 0100 1 010 1 0 0110 0 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 0 110 1 1 1110 0 111 1 0 A B C D c 0000 1 000 1 1 0010 0 001 1 1 0100 1 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 0 111 1 0 AB CD 00 01 11 10 00 1 1 0 1 01 1 0 1 1 11 1 1 0 0 10 1 0 0 1 Fb=B?D?+B?C?+A?C?D?+A?CD+A C?D AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 1 11 1 1 0 1 10 0 1 0 1 Fc=A?C?+A?D+A?B+A B?+C?D

数字逻辑电路课程设计数字钟

数字逻辑课程设计 数字钟 姓名: 学号: 班级:物联网工程131班 学院:计算机学院 2015年10月10日

一、任务与要求 设计任务:设计一个具有整点报时功能的数字钟 要求: 1、显示时、分、秒的十进制数字显示,采用24小时制。 2、校时功能。 3、整点报时。 功能: 1、计时功能: 要求准确计时,以数字形式显示时、分、秒的时间。小时的计时要求为“12翻1”。 2、校时功能: 当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种。“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。 3、整点报时: 每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。 二、设计方案 电路组成框图: 主体电路 扩 展 电 路时显示器 时译码器 时计数器 分显示器 分译码器 分计数器 校时电路 秒显示器 秒译码器 秒计数器 定时控制 仿电台报时 报整点时数

数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时和报时。利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。秒、分、时之间采用同步级联的方式。开关S1和S2分别是控制分和时的校时。报时功能在此简化为小灯的闪烁,分别在59分51秒、53秒、55秒、57秒及59秒时闪烁,持续的时间为1秒。 三、设计和实现过程 1.各元件功能 74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。 74LS00:二输入端四与非门 74LS04:六反相器 74LS08:二输入端四与门 74LS20:四输入端双与非门 2.各部分电路的设计过程 (1)时分秒计数器的设计 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP和ENT引脚是由秒钟显示电路的进位信号控制的。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。可选两片74LS160设计较为简单。 时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。可选两片74LS160设计。

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

数字逻辑设计及应用 本科1 答案

1 电子科技大学网络教育考卷(A 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 一、填空题(每空1分,共20分) 1、请完成如下的进制转换:22.7510= 10110.11 2= 26.6 8= 16.C 16; 2、F6.A 16= 246.625 10= 0010 0100 0110.0110 0010 0101 8421BCD = 0101 0111 1001.1001 0101 1000 余3码 3、-9910的8位(包括符号位)二进制原码是 11100011 ,8位二进制反码是 10011100 ,8位二进制补码是 10011101 ; 4、请问逻辑F=A /B+(CD)/+BE /的反函数F /= A C D E CD B /+ ; 解: ACDE CD B CDE B ACDE CD B CD AB ) E B (CD )B A ()BE )CD (B A ( F ///////////+=+++=+??+=++= 5、F(A,B,C)=Σm (2,4,6)=ПM( 0,1,3,4,7 ); 6、请问图1-6所完成的逻辑是Y= A ⊕B ; 解:通过真值表可以可到该逻辑: 7、74148器件是一个3-8编码器,它采用的编码方式是 优先编码 或 数大优先编码 ; 8、74283器件是一个4位全加器,它的内部逻辑电路与串行加法器不同,采用的是 超前进位 或 先行进位 方法来实现全加逻辑。 9、如果一个与或逻辑电路的函数式为:)C B )(B A (Y / / ++=,该逻辑存在静态冒险,现通过添加冗余项的方式来消除该冒险,则该冗余项为 (A /+C ) ; 10、请写出JK 触发器的特性方程:* Q = JQ /+K /Q ; 11、请写出T 触发器的特性方程:*Q = T ⊕Q 或者TQ /+T /Q ; 12、请写出D 触发器的特性方程:*Q = D ; 13、请写出SR 触发器的特性方程:*Q = S+R /Q ; 14、如果某组合逻辑的输入信号的个数为55个,则需要 6 位的输入编码来实现该逻辑。 解:采用的公式应该是log 255,向上取整 二、选择题(每题1分,共10分) 1、下面有关带符号的二进制运算,描述正确的是,其中X 是被加数,Y 是加数,S 为和: ①. [X]原码+[Y]原码=[S]原码 ②. [X]补码+[Y]补码=[S]补码 ③. [X]反码+[Y]反码=[S]反码 ④. [X]原码+[Y]原码=[S]补码 2、逻辑函数式AC+ABCD+ACD /+A /C= ①. AC ②. C ③. A ④. ABCD 3、请问F=A ⊕B 的对偶式=D F ①. A+B ②. A ⊙B ③. AB ④. AB /+A /B 4、已知门电路的电平参数如下:,,,,V 8.0V V 0.2V V 5.0V V 7.2V max IL min IH max O L min O H ====请问其高电平的噪声容限为: ①.2.2V ②.1.2V ③.0.7V ④.0.3V 5、下面描述方法,对于一个组合逻辑而言,具备唯一性的是: ①.逻辑函数式 ②.真值表 ③.卡诺图 ④.逻辑电路图 6、下面电路中,属于时序逻辑电路的是: ①.移位寄存器 ②.多人表决电路 ③.比较器 ④.码制变换器 7、一个D 触发器的驱动方程为Q X D ⊕=,则其逻辑功能与以下哪种触发器相同: ①. JK 触发器 ②. SR 触发器 ③. D 触发器 ④. T 触发器 8、n 位环形计数器,其计数循环圈中的状态个(模)数为: ①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个 9、n 位扭环计数器,其计数循环圈中的状态个(模)数为: ①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个 10、如果用JK 触发器来实现T 触发器,则JK 触发器的驱动端需要做如下的连接: ①.J=K=0 ②.J=K=T ③.J=T;K=T ’ ④.J=T ’;K=T 三、判断题(每题1分,共10分) 1、CMOS 集成逻辑OD 门,可以用以线与操作;(√ ) 2、三态门的附加控制端输入无效时,其输出也无效;( Х ) 3、三态门的三个状态分别为高电平、低电平和高阻态;(√ ) 4、施密特触发输入的门电路,当输入从高电平变换到低电平,和从低电平变换到高电平,它的输出变化轨迹相 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-6

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班 学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。

学会撰写综合实验总结报告。 通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下 1同步十进制计数器74LS162 3输入正与非门74LS00

相关主题
文本预览
相关文档 最新文档