当前位置:文档之家› 28道《PCB布线及设计》考试题及答案(面试题一)

28道《PCB布线及设计》考试题及答案(面试题一)

28道《PCB布线及设计》考试题及答案

(面试题一)

一、如何处理实际布线中的一些理论冲突的问题?

问:在实际布线中,很多理论是相互冲突的;

例如:

1。处理多个模/数地的接法:理论上是应该相互隔离的,但在实际的小型化、高密度布线中,由于空间的局限或者绝对的隔离会导致小信号模拟地走线过长,很难实现理论的接法。我的做法是:将模/数功能模块的地分割成一个完整的孤岛,该功能模块的模/数地都连接在这一个孤岛上。再通过沟道让孤岛和“大”地连接。不知这种做法是否正确?

2。理论上晶振与CPU的连线应该尽量短,由于结构布局的原因,晶振与CPU的连线比较长、比较细,因此受到了干扰,工作不稳定,这时如何从布线解决这个问题? 诸如此类的问题还有很多,尤其是高速PCB布线中考虑EMC、EMI问题,有很多冲突,很是头痛,请问如何解决这些冲突?

答:

1. 基本上, 将模/数地分割隔离是对的。要注意的是信号走线尽量不要跨过有分割的地方(moat), 还有不要让电源和信号的回流电流路径(returning current path)变太大。

2. 晶振是模拟的正反馈振荡电路, 要有稳定的振荡信号, 必须

满足loop gain与phase的规范, 而这模拟信号的振荡规范很容易受到干扰, 即使加ground guard traces可能也无法完全隔离干扰。而且离的太远, 地平面上的噪声也会影响正反馈振荡电路。所以, 一

定要将晶振和芯片的距离进可能靠近。

3. 确实高速布线与EMI的要求有很多冲突。但基本原则是因EMI 所加的电阻电容或ferrite bead, 不能造成信号的一些电气特性不

符合规范。所以, 最好先用安排走线和PCB叠层的技巧来解决或减

少EMI的问题, 如高速信号走内层。最后才用电阻电容或ferrite bead的方式, 以降低对信号的伤害。

二、在高速设计中,如何解决信号的完整性问题?差分布线方式是如何实现的?对于只有一个输出端的时钟信号线,如何实现差分布线?

答:信号完整性基本上是阻抗匹配的问题。而影响阻抗匹配的因素有信号源的架构和输出阻抗(output impedance),走线的特性阻抗,负载端的特性,走线的拓朴(topology)架构等。

解决的方式是靠端接(termination)与调整走线的拓朴。差分对

的布线有两点要注意,一是两条线的长度要尽量一样长,另一是两线的间距(此间距由差分阻抗决定)要一直保持不变,也就是要保持平行。平行的方式有两种,一为两条线走在同一走线层(side-by-side),一为两条线走在上下相邻两层(over-under)。

一般以前者side-by-side 实现的方式较多。要用差分布线一

定是信号源和接收端也都是差分信号才有意义。所以对只有一个输出

端的时钟信号是无法使用差分布线的。

三、关于高速差分信号布线:

问:在pcb上靠近平行走高速差分信号线对的时候,在阻抗匹配的情况下,由于两线的相互耦合,会带来很多好处。

但是有观点认为这样会增大信号的衰减,影响传输距离。是不是这样,为什么?

我在一些大公司的评估板上看到高速布线有的尽量靠近且平行,而有的却有意的使两线距离忽远忽近,我不懂那一种效果更好。

我的信号1GHz以上,阻抗为50欧姆。在用软件计算时,差分线对也是以50欧姆来计算吗?还是以100欧姆来算?接收端差分线对之间可否加一匹配电阻?

答:会使高频信号能量衰减的原因一是导体本身的电阻特性(conductor loss), 包括集肤效应(skin effect), 另一是介电物质的dielectric loss。

这两种因子在电磁理论分析传输线效应(transmission line effect)时, 可看出他们对信号衰减的影响程度。

差分线的耦合是会影响各自的特性阻抗, 变的较小, 根据分压

原理(voltage divider)这会使信号源送到线上的电压小一点。

至于, 因耦合而使信号衰减的理论分析我并没有看过, 所以我

无法评论。

对差分对的布线方式应该要适当的靠近且平行。所谓适当的靠近是因为这间距会影响到差分阻抗(differential impedance)的值,

此值是设计差分对的重要参数。需要平行也是因为要保持差分阻抗的一致性。

若两线忽远忽近, 差分阻抗就会不一致, 就会影响信号完整性(signal integrity)及时间延迟(timing delay)。

差分阻抗的计算是 2(Z11 – Z12), 其中, Z11是走线本身的特性阻抗, Z12是两条差分线间因为耦合而产生的阻抗, 与线距有关。所以, 要设计差分阻抗为100欧姆时, 走线本身的特性阻抗一定要

稍大于50欧姆。至于要大多少, 可用仿真软件算出来。

四、问:要提高抗干扰性,除了模拟地和数字地分开只在电源一点连接,加粗地线和电源线外,希望专家给一些好的意见和建议!

答:除了地要分开隔离外, 也要注意模拟电路部分的电源, 如果跟数字电路共享电源, 最好要加滤波线路。另外, 数字信号和模拟信号不要有交错, 尤其不要跨过分割地的地方(moat)。

五、关于高速PCB设计中信号层空白区域敷铜接地问题:

问:在高速PCB设计中,信号层的空白区域可以敷铜,那么多个信号层的敷铜是都接地好呢,还是一半接地,一半接电源好呢?

答:般在空白区域的敷铜绝大部分情况是接地。只是在高速信号线旁敷铜时要注意敷铜与信号线的距离,因为所敷的铜会降低一点走线的特性阻抗。也要注意不要影响到它层的特性阻抗,例如在dual stripline的结构时。

六、高速信号线的匹配问题:

问:在高速板(如p4的主板)layour,为什么要求高速信号

线(如cpu数据,地址信号线)要匹配? 如果不匹配会带来什么隐患?其匹配的长度范围(既信号线的时滞差)是由什么因素决定的,怎样计算?

答:要求走线特性阻抗匹配的主要原因是要避免高速传输线效应(transmission line effect)所引起的反射(reflection)影响到信号完整性(signal integrity)和延迟时间(flight time)。

也就是说如果不匹配,则信号会被反射影响其质量。所有走线的长度范围都是根据时序(timing)的要求所订出来的。

影响信号延迟时间的因素很多,走线长度只是其一。P4要求某些信号线长度要在某个范围就是根据该信号所用的传输模式(common clock或source synchronous)下算得的timing margin,分配一部份给走线长度的允许误差。

七、问:在高密度印制板上通过软件自动产生测试点一般情况下能满足大批量生产的测试要求吗?添加测试点会不会影响高速信号的质量?

答:一般软件自动产生测试点是否满足测试需求必须看对加测试点的规范是否符合测试机具的要求。

另外,如果走线太密且加测试点的规范比较严,则有可能没办法自动对每段线都加上测试点,当然,需要手动补齐所要测试的地方。

至于会不会影响信号质量就要看加测试点的方式和信号到底多

快而定。

基本上外加的测试点(不用线上既有的穿孔(via or DIP pin)当

测试点)可能加在线上或是从线上拉一小段线出来。

前者相当于是加上一个很小的电容在线上,后者则是多了一段分支。

这两个情况都会对高速信号多多少少会有点影响,影响的程度就跟信号的频率速度和信号缘变化率(edge rate)有关。影响大小可透过仿真得知。

原则上测试点越小越好(当然还要满足测试机具的要求)分支越短越好。

八、如何选择PCB板材?如何避免高速数据传输对周围模拟小信号的高频干扰,有没有一些设计的基本思路?

答:选择PCB板材必须在满足设计需求和可量产性及成本中间取得平衡点。

设计需求包含电气和机构这两部分。通常在设计非常高速的PCB 板子(大于GHz的频率)时这材质问题会比较重要。

例如,现在常用的FR-4材质,在几个GHz的频率时的介质损dielectric loss会对信号衰减有很大的影响,可能就不合用。

就电气而言,要注意介电常数(dielectric constant)和介质损在所设计的频率是否合用。

避免高频干扰的基本思路是尽量降低高频信号电磁场的干扰,也就是所谓的串扰(Crosstalk)。可用拉大高速信号和模拟信号之间的距离,或加 ground guard/shunt traces在模拟信号旁边。还要注意数字地对模拟地的噪声干扰。

九、众所周知PCB板包括很多层,但其中某些层的含义我还不是很清楚。mechanical,keepoutlayer,topoverlay, bottomoverlay, toppaste,bottompaste,topsolder,bottomsolder,drillguide,dri lldrawing,multilayer 这些层不知道它们的确切含义。

答:在EDA软件的专门术语中,有很多不是有相同定义的。以下就字面上可能的意义来解释。

Mechnical: 一般多指板型机械加工尺寸标注层

Keepoutlayer: 定义不能走线、打穿孔(via)或摆零件的区域。

这几个限制可以独立分开定义。

Topoverlay: 无法从字面得知其意义。多提供些讯息来进一步讨论。

Bottomoverlay: 无法从字面得知其意义。可多提供些讯息来进

一步讨论。

Toppaste: 顶层需要露出铜皮上锡膏的部分。

Bottompaste: 底层需要露出铜皮上锡膏的部分。

Topsolder: 应指顶层阻焊层,避免在制造过程中或将来维修时

可能不小心的短路。

Bottomsolder: 应指底层阻焊层。

Drillguide: 可能是不同孔径大小,对应的符号,个数的一个表。

Drilldrawing: 指孔位图,各个不同的孔径会有一个对应的符号。

Multilayer: 应该没有单独这一层,能指多层板,针对单面板和双面板而言。

十、一个系统往往分成若干个PCB,有电源、接口、主板等,各板之间的地线往往各有互连,导致形成许许多多的环路,产生诸如低频环路噪声,不知这个问题如何解决?

答:各个PCB板子相互连接之间的信号或电源在动作时,例如A 板子有电源或信号送到B板子,一定会有等量的电流从地层流回到A 板子 (此为Kirchoff current law)。这地层上的电流会找阻抗最小的地方流回去。

所以,在各个不管是电源或信号相互连接的接口处,分配给地层的管脚数不能太少,以降低阻抗,这样可以降低地层上的噪声。

另外,也可以分析整个电流环路,尤其是电流较大的部分,调整地层或地线的接法,来控制电流的走法;

例如,在某处制造低阻抗,让大部分的电流从这个地方走,降低对其它较敏感信号的影响。

十一、(1)能否提供一些经验数据、公式和方法来估算布线的阻抗?(2)当无法满足阻抗匹配的要求时,是在信号线的末端加并联的匹配电阻好,还是在信号线上加串联的匹配电阻好?(3)差分信号线中间可否加地线?

答: 1.以下提供两个常被参考的特性阻抗公式:

a.微带线(microstrip):

Z={87/[sqrt(Er+1.41)]}ln[5.98H/(0.8W+T)],其中:

W为线宽,

T为走线的铜皮厚度,

H为走线到参考平面的距离,

Er是PCB板材质的介电常数(dielectric constant)。

此公式必须在0.1<(W/H)<2.0及1<(Er)<15的情况才能应用。

b.带状线(stripline) :

Z=[60/sqrt(Er)]ln{4H/[0.67π(T+0.8W)]} ;其中:

H为两参考平面的距离,并且走线位于两参考平面的中间。此公式必须在W/H<0.35及T/H<0.25的情况才能应用。最好还是用仿真软件来计算比较准确。

2.选择端接(termination)的方法有几项因素要考虑:

a.信号源(source driver)的架构和强度。

b.功率消耗(power consumption)的大小。

c.对时间延迟的影响,这是最重要考虑的一点。所以,很难说哪一种端接方式是比较好的。

3.差分信号中间一般是不能加地线。

因为差分信号的应用原理最重要的一点便是利用差分信号间相

互耦合(coupling)所带来的好处,如flux cancellation,抗噪声(noise immunity)能力等。若在中间加地线,便会破坏耦合效应。

十二、能介绍一些国外的目前关于高速PCB设计水平、加工能力、加工水平、加工材质以及相关的技术书籍和资料吗?

答:现在高速数字电路的应用有通信网路和计算机等相关领域。在通信网路方面,PCB板的工作频率已达GHz上下,迭层数就我所知有到40层之多。

计算机相关应用也因为芯片的进步,无论是一般的PC或服务器(Server),板子上的最高工作频率也已经达到400MHz (如Rambus) 以上。

因应这高速高密度走线需求,盲埋孔(blind/buried vias)、mircrovias及build-up制程工艺的需求也渐渐越来越多。这些设计需求都有厂商可大量生产。

十三、有关柔性电路板的设计与加工:

我公司打算采用柔性电路板设计来解决小型成像系统中信号传送和电路板互接的问题。请问刚柔板设计是否需要专用设计软件与规范?另外国内何处可以承接该类电路板加工?

answer:

可以用一般设计PCB的软件来设计柔性电路板(Flexible Printed Circuit)。

一样用Gerber格式给FPC厂商生产。由于制造的工艺和一般PCB 不同,各个厂商会依据他们的制造能力会对最小线宽、最小线距、最小孔径(via)有其限制。

除此之外,可在柔性电路板的转折处铺些铜皮加以补强。至于生产的厂商可上网”FPC”当关键词查询应该可以找到。

十四、PCB的布线调整:

我想请问一个问题:因觉机器布的不如意,调整起来反而费时。我一般是用的手工布线,现在搞的PCB板多半要用引脚密度较大的贴片封装芯片,而且带总线的 (ABUS,DBUS,CBUS等),因工作频率较高,故

引线要尽可能短.自然的就是很密的信号线匀布在小范围面积的板子上。我现感觉到花的时间较多的是调整这些密度大的信号线:一是调整线间的距离,使之尽可能的均匀。因为在布线的过程中,一般的都时不时的要改线。每改一次都要重新均匀每一根已布好的线的间距。越是布到最后,这种情况越是多。

二是调整线的宽度,使之在一定宽度中尽可能的容下新増加的线。一般一条线上有很多弯曲,一个弯就是一段,手工调整只能一段一段

地调整,调整起来也费时间。

我想如果在布线的过程中,能按我的思路先粗粗地手工拉线,完

了以后, 软件能从这两个方面帮我自动地调整。

或是即便已布完,如要改线,也是粗粗地改一下,然后让软件调整。

甚至,到最后我觉的需要调整元件的封装,也就是说整片布线都需要调整,都让软件来干。

那样就要快多了.我知道软件能做自动均匀调整元件封装的距离

而不能自动调整线距和线宽。

可能是其中的一些功能我还不会用,或是有其他什么办法,在此

请教一下。

answer:

线宽和线距是影响走线密度其中两个重要的因素。一般在设计工作频率较高的板子时,布线之前需要先决定走线的特性阻抗。

在PCB迭层固定的情况下,特性阻抗会决定出符合的线宽。而线

距则和串扰(Crosstalk) 大小有绝对的关系。

最小可以接受的线距决定于串扰对信号时间延迟与信号完整性

的影响是否能接受。

这最小线距可由仿真软件做预仿真(pre- simulation)得到。也就是说,在布线之前,需要的线宽与最小线距应该已经决定好了,并且不能随意更动,因为会影响特性阻抗和串扰。

这也是为什幺大部分的EDA布线软件在做自动布线或调整时不

会去动线宽和最小线距。

如果这线宽和最小线距已经设定好在布线软件,则布线调整的方便与否就看软件绕线引擎的能力强弱而定。

十五、关于高速数字PCB:

请问适当选择PCB与外壳接地的点的原则是什么?另外,一般PCB LAYOUT工程师总是根据DESIGN GUIDE/LAYOUT GUIDELINE做,我想了解一般制定GUIDE的是硬件/系统工程师,还是资深PCB工程师?

谁应该对板级系统的性能负主要责任。

answer:

与外壳接地点选择的原则是利用chassis ground提供低阻抗的路径给回流电流(returning current)及控制此回流电流的路径。

例如,通常在高频器件或时钟产生器附近可以借固定用的螺丝将PCB的地层与chassis ground做连接,以尽量缩小整个电流回路面积,也就减少电磁辐射。

谁应该负责制定guideline可能每个公司有不同的情况而有不

同安排。

Guideline的制定必须对整个系统、芯片、电路动作原理有充分的了解,才能制定出符合电气规范且可实现的guideline。所以,以我个人的观点,硬件系统工程师似乎较适合这个角色。

当然,资深PCB工程师可以提供在实际实现时的经验,使得这guideline可以实现的更好。

十六.电路板DEBUG应从那几个方面着手:

问:请问板子设计好,生产出来,DEBUG应从那几个方面着手。

答:就数字电路而言,首先先依序确定三件事情:

1.确认所有电源值的大小均达到设计所需。有些多重电源的系统可能会要求某些电源之间起来的顺序与快慢有某种规范。

2.确认所有时钟信号频率都工作正常且信号边缘上没有非单调(non-monotonic)的问题。

3.确认reset信号是否达到规范要求。

这些都正常的话,芯片应该要发出第一个周期(cycle)的信号。接下来依照系统运作原理与bus protocol来debug。

十七、现在常用的电子PCB设计软件如何满足电路抗干扰的要求?

问:现在有哪些PCB设计软件,如何用PROTEL99合理的设计符合自己要求的PCB.比如如何满足高频电路的要求,如何考虑电路满足抗干扰的要求?

答:我没有使用Protel的经验,以下仅就设计原理来讨论。

高频数字电路主要是考虑传输线效应对信号质量与时序(timing)的影响。如特性阻抗的连续与匹配,端接方式的选择,拓朴(topology)方式的选择,走线的长度与间距,时钟(或strobe)信号skew的控制等。

如果器件已经固定,一般抗干扰的方式是拉大间距或加ground guard traces。

十八、关于lvds信号的布线:

问:对于lvds低压差分信号,原则上是布线等长、平行,但实际上较难实现,是否能提供一些经验?

答差分信号布线时要求等长且平行的原因有下列几点:

1.平行的目的是要确保差分阻抗的完整性。平行间距不同的地方就等于是差分阻抗不连续。

2. 等长的目的是想要确保时序(timing)的准确与对称性。因为差分信号的时序跟这两个信号交叉点(或相对电压差值)有关,如果不等长,则此交叉点不会出现在信号振幅(swing amplitude)的中间,也会造成相邻两个时间间隔(time interval)不对称,增加时序控制的难度。

3.不等长也会增加共模(common mode)信号的成分,影响信号完整性(signal integrity)。

十九、问:在电路板尺寸固定的情况下,如果设计中需要容纳更多的功能,就往往需要提高PCB的走线密度,但是这样有可能导致走线的相互干扰增强,同时走线过细也使阻抗无法降低,请专家介绍在

高速(>100MHz)高密度PCB设计中的技巧?

答:在设计高速高密度PCB时,串扰(crosstalk interference)确实是要特别注意的,因为它对时序(timing)与信号完整性(signal integrity)有很大的影响。以下提供几个注意的地方:

1.控制走线特性阻抗的连续与匹配。

2.走线间距的大小。一般常看到的间距为两倍线宽。可以透过仿真来知道走线间距对时序及信号完整性的影响,找出可容忍的最小间距。不同芯片信号的结果可能不同。

3.选择适当的端接方式。

4.避免上下相邻两层的走线方向相同,甚至有走线正好上下重迭在一起,因为这种串扰比同层相邻走线的情形还大。

5.利用盲埋孔(blind/buried via)来增加走线面积。但是PCB板的制作成本会增加。

在实际执行时确实很难达到完全平行与等长,不过还是要尽量做到。除此以外,可以预留差分端接和共模端接,以缓和对时序与信号完整性的影响。

二十、电源滤波的讲究:

问:请问,模拟电源处的滤波经常是用LC电路。但是,我发现有时LC比RC滤波效果差,请问这是为什么,滤波时选用电感,电容值的方法是什么?

答; LC与RC滤波效果的比较必须考虑所要滤掉的频带与电感值的选择是否恰当。因为电感的感抗(reactance)大小与电感值和频率

有关。

如果电源的噪声频率较低,而电感值又不够大,这时滤波效果可能不如RC。

但是,使用RC滤波要付出的代价是电阻本身会耗能,效率较差,且要注意所选电阻能承受的功率。

电感值的选用除了考虑所想滤掉的噪声频率外,还要考虑瞬时电流的反应能力。

如果LC的输出端会有机会需要瞬间输出大电流,则电感值太大会阻碍此大电流流经此电感的速度,增加纹波噪声(ripple noise)。

电容值则和所能容忍的纹波噪声规范值的大小有关。纹波噪声值要求越小,电容值会较大。而电容的ESR/ESL也会有影响。

另外,如果这LC是放在开关式电源(switching regulation power)的输出端时,还要注意此LC所产生的极点零点(pole/zero)

对负反馈控制(negative feedback control)回路稳定度的影响。

二十一、多个数/模地的接法:

问:当一块PCB板中有多个数/模功能块时,常规做法是要将数/模地分开,并分别在一点相连。

这样,一块 PCB板上的地将被分割成多块,而且如何相互连接也大成问题。

但有人采用另外一种办法,即在确保数/模分开布局,且数/模信号走线相互不交叉的情况下,整个PCB板地不做分割,数/模地都连到这个地平面上,这样做有何道理,请专家指教。

答:将数/模地分开的原因是因为数字电路在高低电位切换时会在电源和地产生噪声,噪声的大小跟信号的速度及电流大小有关。

如果地平面上不分割且由数字区域电路所产生的噪声较大而模拟区域的电路又非常接近,则即使数模信号不交叉,模拟的信号依然会被地噪声干扰。

也就是说数模地不分割的方式只能在模拟电路区域距产生大噪声的数字电路区域较远时使用。

另外,数模信号走线不能交叉的要求是因为速度稍快的数字信号其返回电流路径(return current path)会尽量沿着走线的下方附近的地流回数字信号的源头,若数模信号走线交叉,则返回电流所产生的噪声便会出现在模拟电路区域内

二十二、线路板设计与EMC!

问:线路板设计如果考虑EMC,必定提高不少成本。请问如何尽可能的答道EMC要求,又不致带太大的成本压力?谢谢。

答: PCB板上会因EMC而增加的成本通常是因增加地层数目以增强屏蔽效应及增加了ferrite bead、choke等抑制高频谐波器件的缘故。

除此之外,通常还是需搭配其它机构上的屏蔽结构才能使整个系统通过EMC的要求。以下仅就PCB板的设计技巧提供几个降低电路产生的电磁辐射效应。

1、尽可能选用信号斜率(slew rate)较慢的器件,以降低信号所产生的高频成分。

2、注意高频器件摆放的位置,不要太靠近对外的连接器。

3、注意高速信号的阻抗匹配,走线层及其回流电流路径(return current path),以减少高频的反射与辐射。

4、在各器件的电源管脚放置足够与适当的去耦合电容以缓和电源层和地层上的噪声。特别注意电容的频率响应与温度的特性是否符合设计所需。

5、对外的连接器附近的地可与地层做适当分割,并将连接器的地就近接到chassis ground。

6、可适当运用ground guard/shunt traces在一些特别高速的信号旁。但要注意guard/shunt traces对走线特性阻抗的影响。

7、电源层比地层内缩20H,H为电源层与地层之间的距离。

二十三、GSM 手机PCB设计:

问:请问专家GSM手机PCB设计有什么要求和技巧?

答:手机PCB设计上的挑战在于两个地方:一是板面积小,二是有RF的电路。

因为可用的板面积有限,而又有数个不同特性的电路区域,如RF电路、电源电路、话音模拟电路、一般的数字电路等,它们都各有不同的设计需求。

1、首先必须将RF与非RF的电路在板子上做适当的区隔。因为RF的电源、地、及阻抗设计规范较严格。

2、因为板面积小,可能需要用盲埋孔(blind/buried via)以增加走线面积。

3、注意话音模拟电路的走线,不要被其它数字电路,RF电路等产生串扰现象。除了拉大走线间距外,也可使用ground guard trace 抑制串扰。

4、适当做地层的分割,尤其模拟电路的地要特别注意,不要被其它电路的地噪声干扰。

5、注意各电路区域信号的回流电流路径(return current path),避免增加串扰的可能性。

二十四、pcb设计中需要注意哪些问题?

答PCB设计时所要注意的问题随着应用产品的不同而不同。就象数字电路与仿真电路要注意的地方不尽相同那样。以下仅概略的几个要注意的原则。

1、PCB层叠的决定;包括电源层、地层、走线层的安排,各走线层的走线方向等。这些都会影响信号品质,甚至电磁辐射问题。

2、电源和地相关的走线与过孔(via)要尽量宽,尽量大。

3、不同特性电路的区域配置。良好的区域配置对走线的难易,甚至信号质量都有相当大的关系。

4、要配合生产工厂的制造工艺来设定DRC (Design Rule Check)及与测试相关的设计(如测试点)。其它与电气相关所要注意的问题就与电路特性有绝对的关系,例如,即便都是数字电路,是否注意走线的特性阻抗就要视该电路的速度与走线长短而定。

二十五、有关高速PCB设计中的EMC、EMI问题:

问:在高速PCB设计时我们使用的软件都只不过是对设置好的

EMC、EMI规则进行检查,而设计者应该从那些方面去考虑EMC、EMI 的规则呢怎样设置规则呢我使用的是CADENCE公司的软件。

答:一般EMI/EMC设计时需要同时考虑辐射(radiated)与传导(conducted)两个方。前者归属于频率较高的部分(>30MHz)后者则是较低频的部分(<30MHz)。所以不能只注意高频而忽略低频的部分。

一个好的EMI/EMC设计必须一开始布局时就要考虑到器件的位置, PCB迭层的安排, 重要联机的走法, 器件的选择等, 如果这些没有事前有较佳的安排, 事后解决则会事倍功半, 增加成本。

例如时钟产生器的位置尽量不要靠近对外的连接器, 高速信号

尽量走内层并注意特性阻抗匹配与参考层的连续以减少反射, 器件

所推的信号之斜率(slew rate)尽量小以减低高频成分, 选择去耦合(decoupling/bypass)电容时注意其频率响应是否符合需求以降低电源层噪声。

另外, 注意高频信号电流之回流路径使其回路面积尽量小(也就是回路阻抗loop impedance尽量小)以减少辐射。

还可以用分割地层的方式以控制高频噪声的范围. 最后, 适当

的选择PCB与外壳的接地点(chassis ground)。

二十六、关于PCB设计中的阻抗匹配问题:

问:在高速PCB设计时为了防止反射就要考虑阻抗匹配,但由于PCB的加工工艺限制了阻抗的连续性而仿真又仿不到,在原理图的设计时怎样来考虑这个问题?

另外关于IBIS模型,不知在那里能提供比较准确的IBIS模型库。

28道《PCB布线及设计》考试题及答案(面试题一)

28道《PCB布线及设计》考试题及答案 (面试题一) 一、如何处理实际布线中的一些理论冲突的问题? 问:在实际布线中,很多理论是相互冲突的; 例如: 1。处理多个模/数地的接法:理论上是应该相互隔离的,但在实际的小型化、高密度布线中,由于空间的局限或者绝对的隔离会导致小信号模拟地走线过长,很难实现理论的接法。我的做法是:将模/数功能模块的地分割成一个完整的孤岛,该功能模块的模/数地都连接在这一个孤岛上。再通过沟道让孤岛和“大”地连接。不知这种做法是否正确? 2。理论上晶振与CPU的连线应该尽量短,由于结构布局的原因,晶振与CPU的连线比较长、比较细,因此受到了干扰,工作不稳定,这时如何从布线解决这个问题? 诸如此类的问题还有很多,尤其是高速PCB布线中考虑EMC、EMI问题,有很多冲突,很是头痛,请问如何解决这些冲突? 答: 1. 基本上, 将模/数地分割隔离是对的。要注意的是信号走线尽量不要跨过有分割的地方(moat), 还有不要让电源和信号的回流电流路径(returning current path)变太大。 2. 晶振是模拟的正反馈振荡电路, 要有稳定的振荡信号, 必须

满足loop gain与phase的规范, 而这模拟信号的振荡规范很容易受到干扰, 即使加ground guard traces可能也无法完全隔离干扰。而且离的太远, 地平面上的噪声也会影响正反馈振荡电路。所以, 一 定要将晶振和芯片的距离进可能靠近。 3. 确实高速布线与EMI的要求有很多冲突。但基本原则是因EMI 所加的电阻电容或ferrite bead, 不能造成信号的一些电气特性不 符合规范。所以, 最好先用安排走线和PCB叠层的技巧来解决或减 少EMI的问题, 如高速信号走内层。最后才用电阻电容或ferrite bead的方式, 以降低对信号的伤害。 二、在高速设计中,如何解决信号的完整性问题?差分布线方式是如何实现的?对于只有一个输出端的时钟信号线,如何实现差分布线? 答:信号完整性基本上是阻抗匹配的问题。而影响阻抗匹配的因素有信号源的架构和输出阻抗(output impedance),走线的特性阻抗,负载端的特性,走线的拓朴(topology)架构等。 解决的方式是靠端接(termination)与调整走线的拓朴。差分对 的布线有两点要注意,一是两条线的长度要尽量一样长,另一是两线的间距(此间距由差分阻抗决定)要一直保持不变,也就是要保持平行。平行的方式有两种,一为两条线走在同一走线层(side-by-side),一为两条线走在上下相邻两层(over-under)。 一般以前者side-by-side 实现的方式较多。要用差分布线一 定是信号源和接收端也都是差分信号才有意义。所以对只有一个输出

高频电路的设计技巧及注意事项的66个必知问题

高频电路的设计技巧及注意事项的66个必知问题 着电子技术快速发展,以及1、如何选择PCB 板材?2、如何避免高频干扰? 3、在高速设计中,如何解决信号的完整性问题? 4、差分 5、对于只有一个输出端的 6、接收端差分线对之间可否加一匹配 7、为何差分对的布线要靠近且平行? 8、如何处理实际布线中的一些理论冲突的问题? 9、如何解决高速信号的手工布线和自动布线之间的矛盾?10、关于test coupon。11、在高速PCB 设计中,信号层的空白区域可以敷铜,而多个信号层的敷铜在接地和接电源上应如何分配?12、是否可以把电源平面上面的信号线使用微带线模型计算特性阻抗?电源和地平面之间的信号是否可以使用带状线模型计算?13、在高密度印制板上通过软件自动产生测试点一般情况下能满足大批量生产的测试要求吗?14、添加测试点会不会影响高速信号的质量?15、若干PCB 组成系统,各板之间的地线应如何连接?16、能介绍一些国外关于高速PCB 设计的技术书籍和数据吗?17、两个常被参考的特性阻抗公式:18、差分信号线中间可否加地线?19、刚柔板设计是否需要专用设计软件与规范?国内何处可以承接该类电路板加工?20、适当选择PCB 与外壳接地的点的原则是什么?21、电路板DEBUG 应从那几个方面着手?22、在电路板尺寸固定的情况下,如果设计中需要容纳更多的功能,就往往需要提高PCB 的走线密度,但是这样有可能导致走线的相互干扰增强,同时走线过细也使阻抗无法降低,请专家介绍在高速(>100MHz)高密度PCB 设计中的技巧?23、模拟电源处的滤波经常是用LC 电路。但是为什么有时LC 比RC 滤波效果差?24、滤波时选用电感,电容值的方法是什么?25、如何尽可能的达到26、当一块PCB 板中有多个数/模功能块时,常规做法是要将数/模地分开,原因何在?27、另一种作法是在确保数/模分开布局,且数/模信号走线相互不交叉的情况下,整个PCB板地不做分割,数/模地都连到这个地平面上。道理何在?28、在高速PCB 设计原理图设计时,如何考虑阻抗匹配问题?29、哪里能提供比较准确的IBIS 模型库?30、在高速PCB 设计时,设计者应该从那些方面去考虑EMC、EMI 的规则呢?31、如何选择EDA 工具?32、请推荐一种适合于高速信号处理和传输的EDA 软件。33、对PCB 板各层含义的解释?34、2G 以上高频PCB 设计,走线,排版,应重点注意哪些方面?

2023年电脑印刷设计考试题库及答案(最新版)

2023年电脑印刷设计考试题库及答案 (最新版) 一、单选题 1.是人类历史上出现最早的印刷品。 A、《金刚经》 B、《圣经》 C、《黄帝内经》 D、《详定礼文》 参考答案:A 2.印刷成品边缘有图文,叫出血。出血的印刷成品图文,应向外延伸便于后道制作。 A、Imm B、2mm C、3mm D、4mm 参考答案:C 3.人类历史上出现的最早的印刷品是 A、《详定礼文) B、《康熙字典》 C、《新旧的圣经》 D、《金刚经》

参考答案:D 4.是利用袖水分离的客观规律,用水参加印刷以及通过橡皮转印滚简间接印制。 A、平版胶印 B、凹版印刷 C、孔版印刷 D、凸版印倒 参考答案:A 5.下列属于平版印刷的是 A、珂罗版印刷 B、孔版印刷 C、凸版印刷 D、普通印刷 参考答案:A 6.人类历史上出现最早的印刷品是 A、《金刚经》 B、《无垢净光经根本陀罗尼》 C、《详定礼文》 D、《康熙字典》 参考答案:A 7.分辨率的单位是 A、dpi

B、cm C、pixel D、inch 参考答案:A 8.桌面出版系统最初是提出的。 A、1985年由美国人被导·希莱纳得 B、2000年由英国人波导·希浆纳得 C、185年由英国人波导·希浆纳得 D、2000年由美国人波导·希莱纳得 参考答案:A 9.在1p图像处理软件中,利用“锐化”工具可改善图像的 A、分排率 B、层次 C、清晰度 D、额色 参考答案:C 10.一束红光照到青色桌面上呈现出 A、红色 B、青色 C、黑色 D、白色 参考答案:D

11.以下关于色彩管理描述不正确的是 A、在色彩复制过程中,涉及的色空间只有RGB B、色彩管理的关键就是实现不同色空间的转换 C、可以保证同三原色的色彩输入与显示输出的表现效果尽可能匹配 D、最终能使印刷品与原稿色彩达到和谐一致 参考答案:A 12.印刷的形式,是按印刷版面结构划分为:凸版,凹版、平版、() A、孔版 B、雕版 C、丝网 D、木刻 参考答案:A 13.分辨率的单位是 A、dpe B、ppe C、lpi D、dpi 参考答案:D 14.誊写版印刷又称(刻钢版)油印,是最简单的一种孔板印刷术。 A、刻蜡版 B、凸版 C、凹版

《汽车电子线路设计与制作》考试题库(含答案)

《汽车电子线路设计与制作》考试题库 (含答案) 一、单选题 1.设计电路板文件的扩展名是()。 A、Sch B、ERC C、PCB D、DDB 参考答案:C 2.原理图设计窗口顶部为主菜单和主工具栏,左部为()。 A、设计管理器 B、底部为状态栏 C、常用工具栏 D、命令栏 参考答案:A 3.布线时在条件允许的范围内,尽量加宽电源、地线宽度,它们的关系是:()。 A、地线>电源线>信号线 B、地线>信号线>电源线 C、电源线>信号线>地线 D、电源线>地线>信号线

参考答案:A 4.晶体管的封装是()。 A、DIP B、RB C、TO--xxx D、XTAL1 参考答案:C 5.进行原理图设计,必须启动()编辑器。 A、PCB B、Schematic C、SchematicLibrary D、PCBLibrary 参考答案:B 6.AltiumDesigner提供了一系列的工具来管理多个用户同时操作项目数据库。每个数据库默认时都带有设计工作组、回收站和()。 A、Members B、Permissions C、Sessions D、文档文件夹 参考答案:D 7.原理图设计时,实现连接导线应选择()命令. A、Place/DrawingTools/Line

B、Place/Wire C、Wire D、Line 参考答案:B 8.在原理图文件中执行Design→MakeProjectLibrary命令,可以()。 A、生成数据库文件 B、生成元件库文件 C、打开数据库文件 D、打开元件库文件 参考答案:B 9.命令ZoomIn可()工作区域。 A、放大 B、缩小 C、PowerObjects D、WritngTools 参考答案:A 10.在PCB布局中不属于电气性能分区的是()。 A、数字电路区 B、模拟电路区 C、功率驱动区 D、电容电阻区 参考答案:D

嵌入式系统设计师-72_真题-无答案

嵌入式系统设计师-72 (总分28,考试时间90分钟) 单项选择题 1. 以下针对嵌入式系统高速PCB布线描述原则,不正确的是______。 A. 合理选择层数 B. 增大高频电路器件管脚间的引线 C. 注意信号线近距离平行走线时所引入的交叉干扰 D. 减少高频电路器件管脚间引线的弯折 2. 在程序执行过程中,Cache与主存的地址映像由______。 A. 硬件自动完成 B. 程序员调度 C. 操作系统管理 D. 程序员与操作系统协同完成 3. 有空栈S,对下列待进栈元素序列a、b、c、d、e、f进行进栈、进栈、出栈、进栈、进栈、出栈的操作后,栈S的栈顶和栈底元素分别为______。 A. c和b B. b和a C. c和a D. d和b 4. 可用于编写独立程序和快速脚本的语言是______。 A. Python B. Prolog C. Java D. .C# 5. 下列关于软件开发模型的叙述,不正确的是______。 A. 软件开发模型用以指导软件的开发 B. 瀑布模型典型地刻画了软件生存周期的阶段划分,与其最相适应的软件开发方法是快速原型化方法 C. 螺旋模型综合了瀑布模型和演化模型的优点,并增加了风险分析 D. 喷泉模型描述的是面向对象的开发过程,反映了软件开发过程的迭代和无间隙特征 6. 在嵌入式系统设计中,用来进行系统初始代码调试的接口称为______。 A. PCI接口 B. USB接口 C. 网络接口 D. JTAG接口 7. 下列网络攻击行为中,属于DoS攻击的是______。 A. 特洛伊木马攻击 B. SYN Flooding攻击 C. 端口欺骗攻击 D. IP欺骗攻击 8. 现有3个同时到达的作业J1、J2和J3,它们的执行时间分别是T1、T2和T3,且T1<T2<T3。系统按单道方式运行且采用短作业优先算法,则平均周转时间是______。 A. T1+T2+T3 B. (T1+T2+T3)/3 C. (3T1+2T2+T3)/3 D. (T1+2T2+3T3)/3 9. 根据信息的交换方式,可以将通道分为三种类型:字节多路通道、数据选择通道和数组多路通道,字节多路通道是按照字节交叉方式工作的,数据选择通道每次以块为单位传送一批数据,数组多路通道有多个非分配型子通道,可以连接多台高速外围设备,下图中(1)处

开关电源的PCB布线设计要点

开关电源的PCB布线设计 开关电源PCB排版是开发电源产品中的一个重要过程。许多情况下,一个在纸上设计得特不完美的电源可能在初次调试时无法正常工作,缘故是该电源的PCB排版存在着许多咨询题. 0、引言为了适应电子产品飞速的更新换代节奏,产品设计工程师更倾向于选择在市场上特别轻易采购到的AC/DC适配器,并把多组直流电源直截了当安装在系统的线路板上。由于开关电源产生的电磁干扰会碍事到其电子产品的正常工作,正确的电源PCB 排版就变得特不重要。开关电源PCB排版与数字电路PCB排版完全不一样。在数字电路排版中,许多数字芯片能够通过PCB软件来自动排列,且芯片之间的连接线能够通过PCB软件来自动连接。用自动排版方式排出的开关电源确信无法正常工作。因此,没计人员需要对开关电源PCB排版全然规那么和开关电源工作原理有一定的了解。 1、开关电源PCB排版全然要点电容高频滤波特性 图1是电容器全然结构和高频等效模型。 电容的全然公式是 式(1)显示,减小电容器极板之间的距离(d)和增加极板的截面积(A)将增加电容器的电容量。 电容通常存在等效串联电阻(ESR)和等效串联电感(ESL)二个寄生参数。图2是电容器在不同工作频率下的阻抗(Zc)。 一个电容器的谐振频率(fo)能够从它自身电容量(C)和等效串联电感量(LESL)得到,即

当一个电容器工作频率在fo以下时,其阻抗随频率的上升而减小,即 当电容器工作频率在fo以上时,其阻抗会随频率的上升而增加,即 当电容器工作频率接近fo时,电容阻抗就等于它的等效串联电阻(RESR)。 电解电容器一般都有特别大的电容量和特别大的等效串联电感。由于它的谐振频率特别低,因此只能使用在低频滤波上。钽电容器一般都有较大电容量和较小等效串联电感,因而它的谐振频率会高于电解电容器,并能使用在中高频滤波上。瓷片电容器电容量和等效串联电感一般都特别小,因而它的谐振频率远高于电解电容器和钽电容器,因此能使用在高频滤波和旁路电路上。由于小电容量瓷片电容器的谐振频率会比大电容量瓷片电容器的谐振频率要高,因此,在选择旁路电容时不能光选用电容值过高的瓷片电容器。为了改善电容的高频特性,多个不同特性的电容器能够并联起来使用。图3是多个不同特性的电容器并联后阻抗改善的效果。 电源排版全然要点1旁路瓷片电容器的电容不能太大,而它的寄生串联电感应尽量小,多个电容器并联能改善电容的高频阻抗特性。图4显示了在一个PCB上输进电源(Vin)至负载(RL)的不同走线方式。为了落低滤波电容器(C)的ESL,其引线长度应尽量减短;而Vin。正极至RL和Vin负极至R1的走线应尽量靠近。 电感高频滤波特性图5中的电流环路类似于一匝线圈的电感。高频交流电流所产生的电磁场R(t)将围绕在此环路的外部和内部。要是高频电流环路面积(Ac)特别大,就会在此环路的内外部产生特别大的电磁干扰。 电感的全然公式是 从式(5)可知,减小环路的面积(Ac)和增加环路周长(lm)可减小L。 电感通常存在等效并联电阻(EPR)和等效并联电容(Cp)二个寄生参数。图6是电感在不同工作频率下的阻抗(ZL)。 谐振频率(fo)能够从电感自身电感值(L)和它的等效并联电容值(Cp)得到,即 当一个电感工作频率在fo以下时,电感阻抗随频率的上升而增加,即

国开期末考试2492《网站界面(UI)设计》机考试题及答案(第1套)

国开期末考试《网站界面(UI)设计》机考试题及答案(第1套) (试卷号2492,整套相同,祝同学们取得优异成绩!) 一、多项选择题(每小题3分,15题,共45分) 1、关于扁平化风格图标的表述正确的有(ABCD) 【A】:简约风格 【B】:有利于提高系统性能 【C】:不强调质感与细节的描摹 【D】:强调功能性 2、在开展设计工作之前,我们力求做到知己知彼,这里的“彼”指(ABCD) 【A】:对所服务的客户的认知,和客户保持良好的沟通 【B】:对网站目标用户的深入理解 【C】:对竞争对手的了解 【D】:对网站目标用户的深入理解 3、关于全局导航的描述正确的有(ABCD) 【A】:出现在网站所有的页面中 【B】:位置一定在网页的顶部 【C】:体现网站最重要的内容层次结构 【D】:帮助用户在网站中迅速定位 4、对于用户导向原则在网站界面设计中的具体体现描述准确的是(ABCD) 【A】:明确体现网站的核心功能和服务 【B】:符合用户在使用网站时的心智模型和场景中的思维状态 【C】:尊重人机交互中用户的生理特征

【D】:符合用户的认知习惯 5、下列关于心智模型表述准确的有(ABCD) 【A】:根据用户的心智模型来选择信息的组织方式是优秀网站成功的重要因素。 【B】:人们通常基于自身经验和现有知识来理解新事物。 【C】:有助于用户理解如何使用网站的设计属于符合心智模型的设计。 【D】:重视情景因素对用户行为的影响。 6、文字图层中的文字信息哪些可以进行修改和编辑?(ABC) 【A】:文字颜色 【B】:文字内容,如加字或减字 【C】:文字大小 【D】:将文字图层转换为像素图层后可以改变文字的字体 7、建立有效布局的手段有(ABCD) 【A】:有选择的展现信息,分清主次 【B】:预先对信息进行科学的分类,整理好优先级 【C】:遵循用户习惯,同时给予适当的引导推荐 【D】:不同信息找到最合适的表现方式 8、下列可以帮助加强色彩对比的方法有(ABCD) 【A】:色相互补 【B】:明度差异大 【C】:色相临近 【D】:饱和度差异大 9、如何让界面中的重要元素变得突出,下列处理手段合理的有(ABC) 【A】:使用鲜艳的色彩

PCB设计模拟题答案

第七届全国信息技术应用水平大赛模拟题 PCB设计 注:实际预赛题题量总计87道,其中单选题60道,每道题1分;多选题20道,每道题2分;简答题4题,每题7分,综合设计题2-3题,共计22分,试卷满分150分,完成时间180分钟。此模拟题仅供参考,具体题型、题量与分值分配以实际预赛题为准。 一、单选题(共60题,每题1分,共60分) 1.Room的主要优点是?() A.Room把功能电路集中在一个区域,布局看上去更加简洁整齐 B.Room可以智能分割元器件,自动创建元件类 C.Room可以关联多个元器件,用于功能电路布局和走线,并可以复制其格式到类 似设计中 D.可以利用查询语句来选中编辑位于Room之中的元件,从而进行批量操作 2.为什么需要对PCB项目进行配置?() A.因为需要在配置中选择项目中所用到的所有派生变量,不同的变量针对不同的 产品 B.因为项目配置可以发布到数据保险库的某个特定Item之中,从而进行版本更 新和控制 C.因为配置代表需要在真实世界中制造的产品,定义了生产厂家制造该产品所需 要的数据 D.如果不对PCB项目进行配置,则无法生成相应的Gerber等文件,无法进行裸 板的生产 3.关于层次化设计,哪种说法不正确?() A.跨越不同原理图页的网络之间可以直接连接

B.使用图表符表示设计中较低等级的原理图页 C.为读者显示了工程的设计结构 D.视图上来看总是从子原理图向上追溯到父原理图 4.用来浏览与编辑封装库的面板是?() A.Library面板 B.PCB Library面板 C.SCH Library面板 D.PCB List面板 5.如何为原理图文档添加参数?() A.在项目选项(Project Option)对话框的参数(Parameter)标签页添加 B.直接在原理图上放置文本 C.在元件属性对话框中添加 D.在原理图文档选项(Document Option)对话框的参数(Parameter)标签页添 加 6.*.schdot文档是什么类型的文档?() A.原理图文件 B.原理图模板文件 C.原理图库文件 D.PCB文件 7.下图是PCB 3D视图中的一部分,其中的元件的封装最可能是() A.SOT23-5;

(完整版)结构工程师面试试题及答案

1. 做为结构工程师,你如何保证你设计的结构能一次制模成功而不需做好后再改模具?答:做下DFMA(失效模式分析)差不多了。 2. 用在充电器(使用220V交流)上的塑料应具备那些要求,目前价位多少? 答:塑件为手机允电器外壳,要求有一定的强度、刚度、耐热和耐磨损等性能。同时,必须满足绝缘性。结合以上要求以及经济因素,故该塑件采用ABS塑料。ABS V0级别的差不多2W-2.5W/T。 3. 透明材料有哪几种,哪种硬度更好,不易刮伤,目前价格多少? 答:看要求了AS,PC,PMMA,ABS也有透明的,不过是半透效果。抗划伤PC好一点。 4. 前模后模的模芯厚度尺寸(在做模时)应具备哪些要求? 答:这个看产品来的了,保证离型腔最薄30-40MM,别啤穿就成。 5 ABS V0 级防火材料是什么意思? 答:HB:UL94和CSA C22.2 NO0~7标准中最低的阻燃等级要求对于3~13MM厚的样品燃烧速度小于40MM/MIN的标准前熄灭. V2:对样品进行2次10S燃烧测试后火焰在60S内熄灭可有燃烧物掉下; V1:对样品前2次10S燃烧测试后火焰在60S内熄灭不能有燃烧物掉下; V0:对样品进行2次10S燃烧测试后火焰在30S内熄灭不能有燃烧物掉下; 5V:分:5VA5VB两种相同的是每个样品有烟和无烟燃烧总时间不能超过60S低落物不能点燃脱纸棉不同的是: 5VA的样品不能被燃烧穿5VB可以同时5V之前产品必须符合V012 6. 做ABS V0 级防火材料的模具应使用什么材料? 答:好的材料有S136,NAK80,产量不大的718,738的加硬钢也能做。 7. 做透明材料的模具应使用什么材料,为什么? 答:产品的外观要求对模具材料的选择亦有很大的影响,透明件和表面要求抛镜面的产品,可选用的材料有S136,2316,718S,NAK80,PAK90,420,透明度特高的模具应选S136。 8。磷铜主要用来做充电器五金件,磷铜有几种可选?电镀后不生锈吗?电镀时应向电镀厂规定哪些质量指标? 答:2680,5191什么的。电镀后至少不容易生锈吧,没有绝对的。ROHS,SGS报告齐全就可以了。 9. 一般磷铜五金件模具的选择有哪些要求? 答:具体要求说不上,一般用D2钢做冲头。

1+X集成电路理论知识复习题库含答案

1+X集成电路理论知识复习题库含答案 1、组装电子产品有很高的技术要求,包括严格的安装顺序如()。 A、先低后高 B、先易后难 C、先重后轻 D、先一般元器件后特殊元器件 答案:ABD 2、电镀工序中在进行清洗后会进行干燥处理,一般采用()或()的方式。 A、悬挂晾干 B、气泵吹干 C、挤压速干 D、高速甩干 答案:BD 略 3、在集成电路制造工艺中,测量二氧化硅膜厚度的方法有()。 A、比色法 B、光干涉法 C、椭圆偏振法 D、四探针法 答案:ABC 4、运放组件的整体布局的一般按照以下顺序()。 A、按照具体电路的对称性要求以及电路结构,将电路中的具体晶体管按 照电路中的相对位置对称排布 B、按照具体电路设计的文件,确定每个支路通过的最大工作电流 C、按照每个支路的最大工作电流对应的导线宽度增加一定的裕量,确保 电路的性能 D、根据具体电路的要求,确定电路中的输入输出引线,确定其与电源和 地在整体布局中的位置 答案:ABCD 5、切割机显示区可以进行()、()等操作。 A、给其他操作人员发送消息 B、设置参数 C、切割道对位 D、操作过程中做笔记 答案:BC

略 6、电镀的主要目的是增强暴露在塑封体外面的引线的()和()。 A、防水性 B、抗氧化性 C、抗腐蚀性 D、耐高温能力 E、美观性 答案:BC 略 7、属于湿法刻蚀的优点的是()。 A、各向同性 B、各向异性 C、提高刻蚀的选择比 D、不产生衬底损伤 答案:CD 湿法刻蚀可以控制刻蚀液的化学成分,使得刻蚀液对特定薄膜材料的刻蚀 速率远大于其他材料的刻蚀速率,从而提高刻蚀的选择比,同时也不产生衬底 损伤。湿法刻蚀的效果是各向同性的,这导致刻蚀后的线宽难以控制,是湿法 刻蚀的缺点。 8、防静电铝箔袋的作用是()。 A、防静电 B、防电磁干扰 C、防潮 D、防水 答案:ABCD 防静电铝箔袋具有防静电、防电磁干扰、防潮三大功能,具有良好的防水、阻氧、避光等特点,可以最大程度地保护静电敏感元器件免受潜在静电危害。 9、在进入集成电路制造车间前注意着装规范,其目的是为了防止人体、衣物等产生()和()对芯片造成损害。 A、灰尘 B、潮气 C、热量 D、静电 答案:AD

第五届上海AI赛创意编程赛道实物编程赛项真题及答案

第五届上海AI赛创意编程赛道实物编程赛项真题01-30题为PCB基础知识题.31-50题为HI-PCB软件题.51-80题为电子电路相关知识题. 一、选择题 1. 印制电路板的简称是()☆ [单选题] A、PLC B、PC C、PUA D、PCB√ 2. 印制电路板布局指的是器件的放置位置,那么下列说法正确的是?()☆ [单选题] A、布局仅考虑美观即可 B、将电子器件整齐排放是最合理的布局 C、布局需要考虑元器件或模块租的功能√ D、布局必须考虑对称性 3. 印制电路板布线是指各器件之间连线的布置,那么下列说法正确的是?()☆ [单选题] A、布线仅需保证线不交叉即可 B、布线步骤应在器件布局之后进行√ C、布线无需考虑器件功能 D、布线无需考虑美观 4. 印制电路板设计中不允许的布线角度是?()☆☆ [单选题] A、135度

B、120度 C、45度√ D、180度 5. 印制电路板中用来标注印制信息的功能层叫做?()☆☆ [单选题] A、丝印层√ B、信号层 C、中间层 D、机械层 6. 以下对于印制电路板丝印摆放描述正确的是?()☆ [单选题] A、可以被元器件覆盖 B、可以重叠 C、可以交叉 D、与元器件对应√ 7. 印制电路板按层数分类可分三类,分别是单层线路板、双面层线路板和()☆ [单选题] A、三层线路板 B、多层线路板√ C、双层拓展板 D、单层拓展板 8. 关于阻抗,下列说法错误的是?()☆☆ [单选题] A、阻抗就是电阻√ B、阻抗是电阻和电抗的合称 C、电容对交流电所起的阻碍作用称做容抗

D、阻抗的单位是欧姆 9. 印制电路板上,影响阻抗的的因素包括()☆☆☆ [单选题] A、丝印清晰度 B、电路板的厚度 C、线的宽度√ D、元器件体积大小 10. 印制电路板的板材参数不包括()☆☆☆ [单选题] A、耐热性 B、吸水性√ C、电路板厚度 D、介电常数 11. 印制电路板上用于焊接元器件引脚的金属孔称为()☆☆ [单选题] A、焊盘√ B、过孔 C、安装孔 D、插接件 12. 印制电路板上用于连接各层之间元器件引脚的金属孔称为()[单选题] A、焊盘 B、过孔√ C、安装孔 D、插接件 13. 印制电路板中通常根据()的层面数来划分几层板?☆☆☆ [单选题]

上海技师学院广州职业技术学院试题选择题含答案 《电子线路设计》(19-20-2)期中复习2

19通信51班《电子线路设计》(19-20-2)期中复习2 您的姓名: [填空题] * _________________________________ 71常用的集成块的英文代号是() [单选题] * A.U(正确答案) B.C C.Q D.R 72使用()符号可以给元件引脚名取反号。 [单选题] * A.\(正确答案) B./ C._ D.~ 73.在元件封装创建向导中,要封装一个双列直插式器件应选择() [单选题] * A.DIP(正确答案) B.SOP C.BGA D.PGA 74.常用的三极管的英文代号是() [单选题] * A.U B.C C.Q(正确答案)

D.R 75.欲在 PCB 上某个位置放置一个焊盘应选择() [单选题] * A.Track B.Fill C.Pad(正确答案) D.Via 76.Altium Designer 中,PCB 图的后缀名为() [单选题] * A.PCBDoc(正确答案) B.SCHDoc C.PrjPCB D.PCBLib 77.执行设计规则检查操作,对应的英文操作名称为() [单选题] * A.Design Rule Check(正确答案) B.Print Setup C.ERC D.Elliptical 78.布线后对焊盘进行修整称为() [单选题] * A.包地 B.补泪滴(正确答案) C.敷铜 D.填充 79.在打印色彩设置时,要打印黑白图纸应选择() [单选题] * A.Color

C.Gray(正确答案) D.None 80.原理图设计时,按下()可使元器件旋转 90°。 [单选题] * A.回车键 B.空格键(正确答案) C.X键 D.Y键 81电路原理图编辑时,进行公英制两种不同测量单位的转换的快捷键是() [单选题] * A.W B. H C. Q(正确答案) D.K 82.元件自动标注操作,对应的英文操作名为() [单选题] * A.Annotate(正确答案) B.Setup C.Draw D.Error check 83.放置多行注释文字,该操作对应的英文为() [单选题] * A.Circle B.Text String C.Text Frame(正确答案)

硬件工程师面试题集(含答案,很全)

硬件工程师面试题集 〔DSP,嵌入式系统,电子线路,通讯,微电子,半导体〕 ---Real_Yamede 1、下面是一些根本的数字电路知识问题,请简要答复之。 (1)什么是Setup和Hold时间? 答:Setup/HoldTime用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间(Setup Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。输入数据信 号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间通常所说的SetupTime。如不满足SetupTime,这个数据就不能被这一时钟打入触发器,只有在下一个 时钟上升沿到来时,数据才能被打入触发器。保持时间(HoldTime)是指触发器的时钟信号 上升沿到来以后,数据保持稳定不变的时间。如果HoldTime不够,数据同样不能被打入 触发器。 (2)什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽一样,所产生的延时也就会不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号那么可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3)请画出用D触发器实现2倍分频的逻辑电路 答:把D触发器的输出端加非门接到D端即可,如以下图所示: (4)什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC门来实 现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC门,应在OC门输出端接一上 拉电阻(线或那么是下拉电阻)。 (5)什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系. 电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开场〞和“完成〞信号 使之同步。异步电路具有以下优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性。 (7)你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、 ECL、ECL、LVPECL等,高速的有LVDS、GTL、PGTL、CML、HSTL、SSTL等。 一般说来,CMOS电平比TTL电平有着更高的噪声容限。如果不考虑速度和性能,一般 TTL与CMOS器件可以互换。但是需要注意有时候负载效应可能引起电路工作不正常, 因为有些TTL电路需要下一级的输入阻抗作为负载才能正常工作。

30题重庆芯联硬件工程师岗位常见面试问题含HR问题考察点及参考回答

重庆芯联 硬件工程师岗位面试真题及解析 含专业类面试问题和高频面试问题,共计30道 一、请简单自我介绍一下。 面试问题:请简单自我介绍一下。 【考察点】 1. 语言表达能力:应聘者能否清晰、简洁地表达自己的基本信息和经历,展示其语言组织能力。 2. 自我认知能力:应聘者如何评价自己的优点和不足,以及对工作的期望和职业规划,这有助于了解其自我认知和定位。 3. 沟通能力:自我介绍环节也是面试官对应聘者沟通能力的初步考察,观察应聘者是否具有良好的沟通技巧和逻辑思维。 【面试参考回答话术】 尊敬的面试官,您好!我叫(姓名),今年(年龄)岁,毕业于(学校名称)的(专业)专业。在大学期间,我认真学习专业知识,积极参加各类实践活动,获得了较好的专业素养和实践经验。 在过去的(工作/实习)经历中,我曾在(公司名称)担任(岗位名称)一职,负责(工作内容),通过那段经历,我锻炼了自己的团队协作能力、沟通能力和解决问题的能力。同时,我也意识到自己在某些方面还存在不足,例如(具体说明自己的不足),因此我一直在努力提升自己,不断学习新知识,以便更好地适应工作需求。 我选择应聘重庆芯联公司的硬件工程师岗位,是因为我对电子行业充满热情,并且认为这个岗位能够充分发挥我的专业技能和实际经验。我期待在这个岗位上,通过不断学习和实践,为公司贡献自己的力量,实现个人价值。 在未来的职业生涯中,我希望建立自己的技术优势,成为一名优秀的硬件工程师,并有机会参与更具挑战性的项目。同时,我也希望能够在团队中发挥更大的作用,帮助团队取得更好的成绩。

感谢您给我这次机会,希望能够加入重庆芯联公司这个大家庭,共同发展。谢谢! 二、您为什么选择硬件工程师这个职业?对这个职业有什么看法? 考察点: 1.应聘者的职业规划和兴趣:通过了解应聘者选择硬件工程师这个职业的原因,可以初步判断其对这个职业是否有热情,是否具有长期从事这个行业的决心和计划。 2.应聘者的沟通能力和自我认知:应聘者对这个职业的看法,可以反映其对这个行业的理解程度,以及自我认知的水平。 3.应聘者的应变能力和逻辑思维:对于这个问题,应聘者需要用合理的理由和事实来回答,展示其思维的逻辑性和应变能力。 面试参考回答话术: 我一直对电子科技领域充满浓厚的兴趣,尤其是在大学期间,通过参加电子竞赛,让我更加深入地了解了硬件工程的重要性。我认为硬件工程师是一个充满挑战和创新的职业,需要不断学习新的技术和知识,我非常享受这种不断学习和成长的过程。 我认为硬件工程师是电子产品的基础建设者,他们的工作直接影响到产品的性能和稳定性。他们需要具备丰富的电子知识,良好的动手能力,以及严谨的科学态度。我非常敬佩那些在硬件工程领域做出杰出贡献的人,也希望能够通过自己的努力,为我国的电子科技事业做出贡献。 选择硬件工程师这个职业,一方面是因为我对电子科技有着浓厚的兴趣,另一方面也是因为这个职业有很好的发展前景。随着科技的不断发展,对硬件工程师的需求也在不断增加,我相信在这个行业中,我能够找到自己的位置,实现自己的价值。 总的来说,我选择硬件工程师这个职业,是因为我喜欢这个领域,我认为这是一个有挑战性、有创新性的职业,也是一个有发展前景的职业。我相信,只要我努力学习,不断提升自己的技能和素质,我一定能够在这个行业中取得成功。

itat2012第七届信息技术应用水平大赛PCB设计个人赛真题答案PCB设计

itat2012第七届信息技术应用水平大赛PCB设计个人赛真题 答案PCB设计 第七届信息技术应用水平大赛PCB设计个人赛 初赛试卷 一、单选题 1. 下列哪种不是贴片封装() 【答案】B 【分数】1分 【选项】 A. SOT-89 B. DIP C. SOT-223 D. BGA 2. 下图中的元件最符合哪种封装名称() 【答案】A 【分数】1分 【选项】 A. SOIC B. TSOP C. QFN D. DFN 3. 封装名称“CAPC2012N”中,数字“2012”的含义是() 【答案】A 【分数】1分 【选项】

A. 元件的长宽尺寸(公制) B. 元件的长宽尺寸(英制) C. 元件的IPC编号 D. 元件的生产商型号 4. 下列哪种封装在手工焊接时最为困难() 【答案】A 【分数】1分 【选项】 A. QFN B. SOP C. DIP D. AXIAL 5. Altium Designer在编辑元器件管脚名称时,采用什么符号定义“低电平”有效() 【答案】C 【分数】1分 【选项】 A. “-” B. “/” C. “\” D. “*” 6. 元件符号模型属性编辑时,哪种类型可使元件符号变为跳线类型() 【答案】C 【分数】1分 【选项】 A. Standard

B. Mechanical C. Net Tie D. Graphical 7. 如果想要屏蔽某部分电路的错误报告,可以在原理图中放置什么标记() 【答案】B 【分数】1分 【选项】 A. Parameter Set指示或PCB Layout指示 B. No ERC标记或Compile Mask指示 C. Net Class指示或Parameter Set指示 D. No ERC指示或PCB Layout指示 8. 下列关于装配变量的描述中,不正确的是() 【答案】C 【分数】1分 【选项】 A. 通过引入器件设计参数变量,提高器件装配的灵活性 B. 装配变量可以被用于BOM表和装配图创建中 C. 装配变量必须在PCB编辑环境内设置 D. 所谓装配变量参数,即在元件PCB装配阶段可以变更的数据 9. 如需在PCB中放置字符,字体需设置为() 【答案】A 【分数】1分 【选项】 A. TrueType B. Stroke C. BarCode

PCB版图设计指南

Q/RB 10008-2010 PCB版图设计指南 拟制: 审核: 批准:

Q/RB 10008-2010 目录 1.概述 (1) 1.1目的 (1) 1.2范围 (1) 1.3依据 (1) 2.PCB板设计评审和采购流程 (1) 3.设计规则 (1) 3.1PCB板材要求 (1) 3.2元器件布局 (2) 3.3热设计要求 (4) 3.4布线设计 (4) 3.5焊盘设计 (11) 3.6孔设计 (12) 3.7阻焊设计 (15) 3.8锡膏板设计 (16) 3.9拼板设计 (16) 3.10Mark定位标志的制定规则 (17) 3.11PCB板命名规则 (17) 3.12PCB板丝网印刷要求 (18) 3.13再流焊工艺的元器件排布方向 (18) 附录A 常用元器件尺寸及相关的焊盘图形尺寸设计 (19) 1 片式电阻器 (19) 2 片式电容器 (20) 3 钽电容器 (22) 4 小外形晶体管SOT23 (23) 5 小外形晶体管SOT89 (24) 6 小外形二极管SOD123 (26) 7 SMA元件 (27) 8 小外形晶体管SOT223 (28) 9 小外形晶体管TO252 (D-PAK) (29) 10 小外形晶体管TO-263 (D2-PAK) (30) 11 小外形集成电路SOIC (31) 12 QFN器件 (33)

1. 概述 1.1 目的 本PCB版图设计指南是为设计PCB产品提供可遵循的规则和方法,详细的阐述了PCB版图的设计规则、材料选择等内容。 1.2 范围 本指南适用于电源SMT产品、微波控制电路部分使用PCB板设计,产品工装夹具设计和微波射频电路部分使用聚四氟乙烯材质PCB板设计可参考借鉴。 1.3 依据 GJB4057-2000 《军用电子设备印制电路板设计要求》 GJB3243-98 《电子元器件表面安装要求》 GJB362B-2009 《刚性印制板通用规范》 2. PCB板设计评审和采购流程 2.1 拟制:工程师根据产品原理图和PCB版图设计指南设计PCB板制版图; 2.2 审核:部门经理根据电路原理审核电子版版图,工艺工程师根据PCB板设计规则对 PCB板电子版版图进行工艺可装配性审核。 2.3 批准:部门主管批准; 2.4 采购:产品工程师填写采购单经批准后交物资部采购,同时由产品工程师负责将设 计的电子版图交送物资部,并送资料室保存。 3. 设计规则 3.1 PCB板材要求 PCB板设计时应根据产品焊接和工作温度、电气性能、结构强度和加工费用等选择合适的覆箔板,对于普通PCB板要求如下: 3.1.1根据公司产品的特点,常用FR-4基板,可选择FR-5及聚四氟乙烯等材质; 3.1.2PCB铜箔厚度:常用35μm,可根据导体电流大小和允许的工作温度选择其它厚度。 3.1.3PCB板层数:常用2层,可选择多层板例如4层、6层等,但必须是偶数层板。 3.1.4PCB板尺寸依据产品设计要求确定,在设计文件中标明,尺寸标注应考虑厂家的 1

2024江苏电工考试题库电工技师考试题库及答案(全国通用)

本套试卷为电工精选题库,总共300道题!题目几乎覆盖电工常考的知识点。 题库说明:本套电工题库包含(单项选择题200道,多选题50道,判断题50道) 一、单选题(共计200题,每题1分) 1.长期在高频电磁场效果下,操会有什么不良反应?( ) A.呼吸困难 B.神经失常 C.疲惫无力 答案:C 2. 在移动灯具及信号指示中,广泛应用( )。 A.白炽灯 B.荧光灯 C.碘钨灯 D.高压钠灯 答案:A 3. 熔断器的保护特性又称为( ) A.灭弧特性 B.安秒特性 C.时间性 答案:B 4. 办事公道是指从业人员在进行职业活动时要做到( )。 A.追求真理,坚持原则 B.有求必应,助人为乐 C.公私不分,一切平等 D.知人善任,提拔知己 答案:A 5. 保险绳的使用应( )。 A.高挂低用 B.低挂调用 C.保证安全 答案:A 6. 在变配电站停电检修或安装时,( )负责完成安全技术措施与安全组织措施。 A.监护人 B.值班员 C.检修人员 答案:B 7. 直流电动机如要实现反转,需要对调电柜电源的极性,其励磁电源的极性( )。 A.保持不变 B.同时对调 C.变与不变均可 答案:A 8. 电磁力的大小与导体的有效长度成( )。 A.正比 B.反比

C.不变 答案:A 9. 危大工程“六不施工”是指(),未编制专项施工方案.未按规定审批或论证方案.未按方案落实有关措施不施工,未进行安全技术交底不施工,(),未进行第三方监测不施工,()。( ) A.安全防护用品无保障不施工.未进行现场监督不施工.未经验收合格不施工 B.未落实前期保障措施不施工.未开展安全巡查不施工.安全防护不到位不施工 C.未落实前期保障措施不施工.未进行现场监督不施工.未经验收合格不施工 答案:C 10. 测量6~10kV电力电缆绝缘电阻应选用输出电压( )V兆欧表。 A.500 B.1000 C.2500 D.5000 答案:C 11. “止步,高压危险!”标识牌的底色应该是( )。 A.白底黑边 B.黑底白边 C.红底白边 D.白底红边 答案:D 12. 角频率用符号( )表示。 A.T B.1/T C. f D.w 答案:D 13. 倒闸操作应由( )人进行。 A.1 B.2 C. 3 答案:B 14. 电力部门电测计量专业列入强制检定工作计量器具目录的常用工作计量器具,没有( )。 A.电能表; B.测量用互感器; C.指示仪表; D.绝缘电阻.接地电阻测量仪。 答案:C 15. 电力电缆中,用来运送电能,是电缆的首要部分的为( )。 A.线芯(导体) B.绝缘层 C.屏蔽层 答案:A

相关主题
文本预览
相关文档 最新文档