当前位置:文档之家› IC设计经验总结

IC设计经验总结

IC设计经验总结
IC设计经验总结

I C设计经验总结

一、芯片设计之前准备工作:

1)根据具体项目的时间要求预订MPW班次,这个可以多种途径完成。

(1):一方面可以跟中科院EDA中心秦毅等老师联系,了解各个工艺以及各个班次的时间。半导体所是EDA中心的会员单位,他们会很热心的帮助完成。

(2):另一方面可以和具体项目合作的单位如清华等,根据他们的流片时间来制定自己的流片计划。

2)仔细核对设计库的版本更新情况,包括PDK、Spectre Model以及RuleDecks。这些

信息可以直接可以从中科院EDA中心获得,或者从相应的合作单位进行沟通统一。

这一点对后续的设计很重要,请务必要引起重视。

3)得到新的工艺库必须整体的熟悉一下,好好的查看里面的Document以及Userguide

之类的,里面的很多信息对实际设计很有帮助。安装工艺库的过程会根据具体设计要求做出一些选着。如TSMC65nm工艺库在安装过程中会提示是否选着RF工艺、电感是否使用厚层金属、MIM电容的单位面积电容值等之类的。

4)制定TapeOut的具体Schedule. 这个Schedule的制订必须请相关有经验的人来核

实,第一次TapeOut的人往往缺乏实际经验,对时间的安排可能会不合理。一旦Schedule制订好后,必须严格按照这个时间表执行。当然必须赶早不赶晚!

二、芯片设计基本系统框图一

图一

三、模拟IC设计基本流程

3.1) 设计框图如下图二

电路样式选择

电路结构确定

参数的选定

以及仿真

优化以及可

靠性仿真

图二

3.2 电路的式样确定

这个主要是根据系统设计结果,分析和确定模拟电路的详细的式样。

3.3 电路的结构确定

根据单元模块电路的功耗、代价等各个指标的折中分析,确定各个单元模块的具体实现电路形式,如滤波器是无源滤波器还是有源滤波器,有正交VCO产生I/Q信号还是通过/2分频器来实现I/Q信号,用差分形式还是用单路形式等等。在具体电路的选取过程中,我们需要查阅了大量的IEEE文献,从中选取了比较成熟的,应用较广的电路结构来进行我们的设计工作。有时候可能会发现所确定的结构很难或者根本不可能满足技术指标的要求,这就需要改进结构或者查阅文献,设法满足要求。

3.4 参数的选取和仿真

电路参数的选定与电路的仿真是分不开的。在比较重要的设计任务中,手算可以在20%的时间内完成80%的设计工作量,剩下的20%却需要花80%的时间来做。通过手算确定的参数是近似的,有时候会引错方向。但是它可以了解到参数的变化对设计会有多大的影响,是很有必要的。而采用计算机的反复迭代会使设计者对设计体会不深,不是明智的办法。

俗话说“公欲善其事,必先利其器”。目前,在公司内部可以使用多种EDA工具进行电路仿真。对于EDA工具的使用不在于多,能够精通常用的一类或者几类就行。最主要的时候能够灵活的进行仿真规划,知道什么样的电路适合用什么样的仿真工具。

-HSPICE;对于低频电路设计来说,HSPICE是一种最灵活方便的工具,而且其仿真精度也比较高,后来被SYNOPSYS收购,好像也正是因为这个原因使得如今的Hspice仿真速度以及精度都可以跟Cadence产出的仿真器相媲美了。业界使用Hspice作为仿真软件的也挺多,原先是avanti公司的,

-Spectre;是Cadence的仿真器,由于其是图形界面,所以很直观。

-SpectreRF:对于射频电路设计,SpectreRF是一种不错的选择。

-UltraSim:相比于Spertre而言,在仿真精度损失3%的情况下,可以加速10~100倍的仿真速度。而且进行整体芯片后仿真时候,我们可以根据其不用的精度要求来设置各个模块的仿真精度。UltraSim Full-Chip Simulator for faster convergence on goals and signoff of post-layout designs at the chip level.

具体UltraSim的使用可以参考《Virtuoso? UltraSim Simulator User Guide》、《ADE/UltraSim Integration Tutorial》等。在网上相关资料很多,可以根据要求自己下载学习。

-APS:Accelerated Parallel Simulator delivers high-precision SPICE and scalable

multi-core simulation performance for complex and large pre and post-layout of analog and RF IC designs. 这种仿真器是现在业界最快的仿真器,如今实验室已经成功启动APS进行大规模的是芯片整体验证仿真。在整体芯片规模越大,越能体现出优势。(对应的Cadence版本5.10.41.5,安装相应的MMSim72)

-SpectreVerilog:能够进行数模混合仿真的工具。

-UltraSim-Verilog:进行数模混合仿真的工具,仿真速度比SpectreVerilog快。实验室在使用中较多的用在数字模块的后仿验证。

-StarSim:高于HSPICE10倍的速度,对于大规模的晶体管级的仿真是不错的选择,可以进行tran分析。

-ADS:对于系统级的仿真,ADS是最好的选择。对于电路级的仿真,功能也很强大,而且如今已经有一个RFDE环境,可以将ADS嵌入在Cadence中,很方便的进行使用。

在电路参数的选定及电路仿真的工作开始之前,最好能够阅读一遍厂家提供的Model库及其文件,从中可能会得到意想不到的东西。

电路参数的选定及电路的仿真需要有良好的IC设计的基本知识。而这些知识的获得则需要个人的不断努力,不断的积累。

3.5 优化和可靠性仿真

由于实际工艺的都存在不确定性,会偏离设计的初衷,如器件尺寸的偏离,参杂浓度的改变等,都会影响到电路的性能,所以设计的时候应具有一定的鲁棒性,因此需要可靠性仿真,确保芯片在工艺偏离的情况下,性能仍然符合要求。

对各种参数要求较严格的电路,需要做蒙特卡罗分析,以前章琦做过简单的蒙特卡罗分析仿真方法的仿真,,希望大家能够相互学习这种方法,做芯片电路设计的全面仿真。还有工艺Corner分析至关重要,另外敏感性分析和温度分析也应该引起重视,特别是对某些特定电路的设计。

我们对工艺角Corner分析应至少包括:全部模型的SS,TT,FF角。如有时间的话,可以进一步细化,如N型晶体管和P型晶体管趋向于两种不同的工艺角SS和FF等,晶体管和其他的电阻和电容等的工艺角不同等。总而言之,应使用组合的方法,尽可能的涵盖一切可能出现的工艺角情况。

就应用的温度而言对其进行温度范围的仿真,一般而言,应该覆盖-20~100的温度,取特征值如-20,27,100度等三个温度点进行仿真即可。温度应配合工艺角联合进行仿真,比如仿真在100度,SS工艺角的情况下芯片的性能。

分析可能的失配情况,尤其是匹配的对管,人为的进行失配调整,如对管的尺寸失配5%等,仿真在这种情况下芯片的性能。还有就是考虑电源电压的波动,一般电压电压波动范围设置在+-10%的范围。仿真过程中应该应该考虑到足够的电压欲度,使得在波动范围内任然正常工作。

总而言之,优化和可靠性仿真是必须的,它确保芯片在工艺偏离的情况下,性能仍然符合要求。

四、模拟IC设计一些经验总结

4.1、设计库的管理,各个电路图以及电路端口命名需要规范,养成一个好习惯。这样既方便于自己对电路模块的调用,也方便于以后的师弟、师妹的学习理解。

4.2、模拟IC最基础的一个模块就是OPA,可以说它在模拟IC中到处使用,如ADC/DAC/PLL/DC-DC/LDO/Bandgap/PGA/VGA等等。所以大家在抽空时间里面需要对OPA 基本设计理论,各个性能指标的意义做好充分的了解。可以阅读参考书籍,也可向有OPA 设计经验的师兄弟请教、学习。有时间的话可以根据特定的应用,设计一个相应的OPA,这样一方面掌握OPA以及模拟电路的基本设计方法,另一方面可以很好的学习Cadence 等的软件的仿真流程(准对初学模拟IC设计者)。

4.3、仿真软件的使用技巧。首先不可太依赖于仿真工具,仿真只是一种验证手段,只是用来验证你的设计想法是否正确。设计过程中必须多思考、多交流。

4.4、电路设计过程可以说是一个不断迭代收敛的过程,千万不要害怕迭代次数较多。整个设计过程原本就是各个参数之间的Tade-Off过程。如LC-VCO的设计中我们要考虑Phase-Nosie、中心频率、频率调谐范围、功耗、调谐曲线的Overlap、Kvco等。不断的进行参数设计调整,使得最后达到设计要求。

4.6、设计中电阻一般较常使用,在电阻采用绝对值的时,一般将电阻的W选取>2um,这样在芯片加工过程中相应的偏差就会减小。

五、仿真工具配合仿真方法几点简单说明:

【1】 Ultrasim的简单使用说明:

准对不同的电路仿真,可以使用7种Simulation Mode:

(1)S: Spice

(2)A: Analog

(3)AMR: Analog Multi Rate

(4)DA: Digital Accurat

(5)MS: Mixed Signal

(6)DF: Digital Fast

(7)DX:

一般其中DF/DA模式适用于数字电路(数字逻辑电路、门电路、触发器、ROM、RAM等)仿真,不要把这两种模式用于模拟电路仿真。

如果在option没有设置,默认是MS模式,兼顾精度与速度。AMR模式不能“本地化”(local)使用,就是说AMR模式只能用于整个电路而不能针对某一个模块使用。

公差容忍度设置:

speed可以设置总的公差容忍度tol(tol也可以单独设置),tol包括电压、电流等所有的公差容忍度之和。

.usim_opt speed=2 设置tol=0.001,比较高的精度!

.usim_opt speed=1 #vco 对vco cell使用0.0001的tol

speed=1,2,3,4,5,6,7,8对应的tol分别是0.0001 ,0.001,0.0025,0.005,0.02,0.02,0.04,0.07,精度以此降低。

通常,如果精度要求不是很高,可以采用默认设置,而无需设置这一项。tol还与解矩阵方程的收敛性有关,然而,我还从来没有碰到ultrasim不收敛的时候!!

再强调一点:精度设置的越高,相应的速度越低!

Simulation Modes

Virtuoso UltraSim Simulation Modes Overview

一般使用过程中我们比较多的关注仿真模式、仿真速度、仿真精度的设置。其余一些详细的设置可以参考《Virtuoso? UltraSim Simulator User Guide》

下面以使用Ultrasim仿真PLL的例子简单熟悉Ultrasim的设置

PLL模块中既有高频模块VCO,Divider,也有低频模拟模块Charge-Pump,LPF,还有数字模块Digital,所以这是比较复杂的系统,包含了数字、模拟、射频。往往这样的系统仿真速度和精度个大问题。VCO的仿真需要小的步长,较高的精度,但是数字模块可以采用较大的仿真步长,精度要求不高。如果整体系统都是按照VCO的仿真精度来设置的话,仿真速度会很慢,特别是有模块进行后仿真的时候,速度就会成为更大的问题。而UltraSim的仿真可以分模块很好处理这个问题,加快仿真速度,但也不损失仿真精度。

1)启动Cadence icfb&,打开已经建立好的Config(这样的仿真务必建成Config形式)

2)设置各个模块的仿真模式以及仿真精度。有两种方法可以使用:第一直接所提取网

标中加入命令形式:如usim_opt sim_mode=a speed=2 subckt=[vco2phase]

usim_opt sim_mode=a speed=2 inst=[I19.I19.I0]

以上说明第一个是以子电路形式表示,vco2phase的仿真模式为a,仿真速度为2,第二个是以instances形式表示的。

多列举两个理解一下:

.usim_opt sim_mode=da xi1 xi2 #dff

.usim_opt sim_mode=a xi5 #driver @pmos2

.usim_opt wf_format=wdf

.usim_opt作为options,前面的3个option分别表示:把子电路xi1 xi2 和cell(名称为dff的所有子电路)dff设置为DA仿真模式; 把xi5、cell driver、model pmos2(用到模型名称为pmos2的的mos管)设置为a模式; 而生成的波形文件格式wdf。usim_opt sim_mode=a speed=2 maxstep_window=[0 10p 10n 1e20] subckt=[vco2phase] 设置vcophase的最大仿真步长。

另外一种通过界面化操作。

打开Hierarchy Editor window如下

可以在vco2phase一栏处右击来设置相应的仿真模式、以及仿真速度。

设置完成后点击File >>>Save按钮。

3)[Cadence hierarchy editor window] View >>>>>Tree

可以设置各个instance的view to use.(如

veriloga/schematic/av_RC/extracted)。选定相应的view后[Cadence hierarchy editor window] View >>>Update(必须)。

更新后点击Design>>>Hierarchy>>>>Return to Top.

这个设置就相对很灵活,对模块选着性的进行后仿真处理!!!!

4)点击:[Analog Circuit Design Environment] Simulation>>>>Options >>>A nalog

进行仿真设置:

Simulator选着UltraSim;

瞬态仿真,设置仿真时间长度(如400ns);

下面的设置是后仿真情况下给出的:(不同的仿真可以设置不同模式)

Simulation Mode:Mixed Signal (MS);

Speed=4;

DC method: Complete DC (1)

post-layout method : (Liberal RCR(3))

postl=3.

DC method 4中选着, e Skip DC (0), Complete DC (1), Fast DC (2),

spectre DC (3). 默认情况Complete DC (1).

5)产生网标查看UltraSim设置

点击[Analog Circuit Design Environment] Simulation >>>Netlist >>>Recreate

这样的话可以看到各个模块设置情况,在后仿真时候也可看到提取的N多RC寄生。

6)最后[Analog Circuit Design Envir onment] Simulation >>>Run就可以了。然后再根

据不同的要求对电路进行设置仿真。

其实UltraSim仿真设置还有很多,大家可以参考Virtuoso? UltraSim Simulator User Guide!!!

【2】APS仿真设置:

(1) 建立Config

File---New----Cell View

选择Hierarchy-Editor,此时View Name 变成config, 然后点OK

弹出 New Configuration 对话框

点击 Browse,弹出Choose the Top Cell 对话框,然后选中schematic,点击OK。

New Configuration 变成如下图示。

然后点击Use Template…

Name 中选中 spectre(只进行模拟仿真验证),或者选中spectreVerilog(进行数模混合仿真验证),选中后点击OK,回到New Configuration 再点击OK就完成了Config的建

立。

(2) 进行仿真验证

在Library Manager的View中打开Config

在hierarchy editor中,View to Use 栏中点击右击相应模块的仿真模式(一般后仿真选中calibre,前仿真的话是选择schematic)。

设置完后,进入ADE仿真环境。(点击Tools----Analog Envirment)

在ADE仿真环境中点击 Setup---High-Performance Simulation….

弹出High-Performance Simulation Options对话框:

Simulation Performance Mode 一栏包括Spectre、Turbo以及APS

对于规模比较大,而精度要求不是很高的电路来说,第二栏Override Accuracy(Errpreset) Defaults 可以设置为Liberal,而对于高精度的设计则需要设置

为moderate 或者conservative

The default settings for Multithreading for Spectre, Turbo and APS simulators are as given

below:

在仿真过程中根据电路规模(Device 的多少) 设置合理的仿真器

Cells marked with the symbol √ in the above table indicate the recommended tool to use

for designs of a particular size. The following general rules apply:

■If the design is very small, say with less than 100 devices, Spectre L or Spectre Turbo

single thread are the best choice. There is no additional performance gain using Spectre

Turbo multi-thread or APS.

■In designs with up to 5K devices, Spectre Turbo becomes the tool of choice and

additional performance gain can be obtained by enabling multi-threading with Spectre

Turbo.

■As the device size continues to grow, APS provides additional value with its multithreading

option.

■For designs with more than 50K devices, APS provides significant performance gain

using both single-thread and multi-thread.

■APS is recommended for any post-layout design (dominated by parasitic elements).

APS is targeted at transient, DC, AC and RF analysis including interactive

features like alter,

altergroup, sweep, and Monte Carlo. In case of AC analysis, the simulation is fully

parallelized, resulting in significant performanance gain on large and

post-layout designs.

Typically AC analyses are not long simulations. Other analyses are not supported.

The following recommendations allow you to achieve best performance with APS:

■APS with the +errpreset=liberal command line option provides sufficient accuracy

for the majority of designs. Only high precision designs may require the use of the

moderate or conservative setting for the +errpreset option.

其余的设置与常规的Spectre仿真环境设置类似,在此不再累述。

【3】 Calibre对射频版图IC后仿真注意:

点击 Run PEX,启动Calibre xRC 的GUI,如图下图所示。Outputs 菜单中的Extraction Type 里,第一项通常选择Transistor Level 或Gate Level,分别代表晶体管级提取和门级提取。第二项可以选择R+C+CC,R+C,R,C+CC,其中R代表寄生电阻,C 代表本征寄生电容,CC 代表耦合电容。第三项可以选择No Inductance,L 或L+M,分别代表不提取电感,只提取自感和提取自感与互感。这些设置由电路图的规模和提取的精度而定。在Format 一栏中,可以选择SPECTRE,ELDO,HSPICE 等网表形式,也可以选择Calibre xRC 提供的CALIBREVIEW 形式。本文中选择CALIBREVIEW 形式。Use Names From 可以根据需要选择SCHEMATIC 或LAYOUT。

设置完毕后,点击 Run PEX,开始寄生参量提取。通常,Calibre xRC 先执行LVS,之后提取寄生参量,最后将电路图中的原有的器件和提取出的寄生电容,电阻和电感反馈到一新生成的带寄生信息的电路图中。PEX 完成后,弹出如下对话框:

Output Library 为输出电路的library,自动生成。

Cellmap File 一般库中已经存在,可以找到其相应的路劲加入。

Calibre View Name 可以自己随便命名,只是在后仿真时候应该调用相应的名字。下面会讲到。

我们需要特比注意的是RF 器件与一般的MOS 器件不同,这类器件的模型是代工厂经过实际测量得到的参数,在spice model 中通过子电路表示。因此,它的模型中已经包含了器件的寄生信息。而且,由于这类器件的面积通常较大,其中的寄生电容和寄生电阻值是相当可观的。比如,在设计中,所示的每个RFMOSFET 的宽和长分别为50um 和0.24um,每个器件包含10 个finger。如果工具对RF 器件的内部也进行提取,将会对导致器件的寄生电容和电阻重复提取。为了确保提取正确,Calibre xRC 提供一种称为“黑盒”提取的方法,可以将指定的器件(通常是RF 器件)看作理想器件。对其内部的节点之间的寄生电容和寄生电阻不再提取。

具体步骤如下:首先,先定义x cell 文件,例如;

pmoscap_rf* pmoscap_rf

moscap_rf18* moscap_rf18

moscap_rf18_nw* moscap_rf18_nw

moscap_rf25* moscap_rf25

nmos_rf* nmos_rf

nmos_rf_18* nmos_rf_18

nmos_rf_18_nodnw* nmos_rf_18_nodnw

nmos_rf_25* nmos_rf_25

nmos_rf_hvt_nodnw* nmos_rf_hvt_nodnw

nmos_rf_lvt* nmos_rf_lvt

nmos_rf_lvt_nodnw* nmos_rf_lvt_nodnw

nmos_rf_mlvt* nmos_rf_mlvt

nnmos_rf_nodnw* nmos_rf_nodnw

pmos_rf* pmos_rf

…………………………

左边是版图单元的名称,右边是电路图单元的名称。其中所指定的器件版图和电路图必须是单独的单元。通过这种方式定义版图和原理图单元的对应关系,以及提取寄生时所需要屏蔽的版图单元。其次,在XRC rule 中添加PEX IDEAL XCELL YES 语句。最后,采用gate level 的方式进行寄生参量提取,确保工具将RF 器件识别为一子电路。如果采用GUI 的方式,选择gate level 提取,而不是transistor level 级提取。同时在input 选项中的已经建立的xcell文件

在设置好以后点击RUN PEX,接下去和没有使用xcell时候一样。

【4】Monte Carlo仿真(简称MC)

参考<>

基本步骤:

“Specifying the Characteristics of a Statistical Analysis” on page 86“Selecting Signals and Expressions to Analyze” on page 88“Defining Correlations” on pa ge 98

“Starting and Stopping the Analysis” on page 99

“Saving and Restoring a Statistical Analysis Session” on page 101具体:打开ADE仿真环境,点击Tools----Monte Carto

出现Analog Statistical Analysis对话框

1. Specify the Number of Runs for this statistical analysis.

2. Specify the Starting Run #.

3. Choose the type of Analysis Variation.

?Process Only

?Mismatch Only

?Process Variation and Mismatch

4. Choose a parameter to sweep in an inner loop

5. Selecting Signals and Expressions to Analyze

在ADE中产生netlist:

然后根据要求加入统计分析的内容。上例中加入了工艺参数dtxo_n_18的

process和mismatch的统计分析。

点击Simulation---Run。

Run 完成后,在icfb对话框中会出现Simulation completed successfully 和Monte Carlo Simulation completed successfully 的字样。

查看仿真结果:

点击Results---Plot---Curves:

集成电路实验报告报告—2008301200188王晓东

武汉大学教学实验报告 实验名称集成电路实验指导教师孙涛姓名王晓东年级08 学号2008301200188 成绩 一、预习部分 1.实验目的 2.实验基本原理 3.主要仪器设备(含必要的元器件、工具)

实验一:Shell命令与Solaris9桌面管理 一.实验目的 了解Sorlaris 平台发展历史,Unix 操作系统的主要三个部分。掌握Unix 的Shell 基本命令,公共桌面管理(Common Desk Environment)基本操作,Unix 的文件管理。 二.预备知识与实验原理 计算机基本知识,Unix 操作系统发展的历史、特点,基本UNIX Shell 文件管理命令(见本章第一节)。 三.实验设备与软件平台 Unix 服务器,工作站。 四.实验内容与要求 熟悉三种UnixShell,及基本文件管理命令行命令: 掌握UnixShell 的基本命令、使用、参数意义;并学会使用帮助; 熟悉Unix 文件管理系统; 基本掌握Sorlaris 公共桌面管理平台(CDE)。 五.实验步骤 1. 分别完成并熟练掌握如下实验内容(参阅第一节内容) Bourneshell($) Kornshell($) Cshell(%) ls 显示文件名 cd 目录转换 mkdir 创建目录 rmdir 删除目录 cp 文档复制 find 文件查找 vi 编辑器 geidt 编辑器 man 帮助 exit 系统退出 reboot 系统重启 pwd 显示当前路径 二、实验操作部分 1.实验操作过程(可用图表示) 2.结论

2. Sorlaris 操作系统的三个基本组成,熟悉命令行下的文件管理,子目录等。 3. CDE(公共桌面环境) (1)geidt 编辑文本文件 (2)在CDE 下运行可执行程序 (3)文件管理 思考题 1.简述UNIX 操作系统的三个组成部分。 答:UNIX 操作系统是基于文件的,其三个主要部分是Kernel(内核)、Shell、文件系统。Kernel是操作系统的核心,Shell是用户与kernel之间的接口。它就像是命令的解释器或翻译器。Solaris环境的文件结构是分层的目录树结构,类似于DOS的文件结构。2.简述UNIX 演化过程和特点。 答:最早的计算机都采用的是批处理的方式,耗费的时间和财力都比较大,为克服这一缺点,贝尔实验室研制了一种较为简单的操作系统即UNIX。随着许多商业机构和学术机构的加入,使UNIX得到了迅速的发展。直至今天拥有强大功能、性能良好的的UNIX 系统。 UNIX系统具有可移植性好、可靠性高、伸缩性强、开放性好、网络功能强、数据库支持强大、用户界面良好、文本处理工具强大而完美、开发环境良好、系统审计完善、系统安全机制强、系统备份功能完善、系统结构清晰、系统的专业性和可制定性强的特点。 3.何为UNIX shell?有那些常用shell 命令? 答:UNIX Shell 是Unix 内核与用户之间的接口,是Unix 的命令解释器。常用的shell 命令有Bourne Shell(sh)、Korn Shell(ksh)、C Shell(csh)、Bourne-again Shell (bash)。 实验二:Tcl脚本命令与编程——从1到100的累加 一. 实验目的 掌握Tcl 基本命令,脚本编程的语法,数据类型、控制结构命令,以及基本Tcl 脚本 编程。 二. 预备知识与实验原理 见本章第二节,Tcl/Tk 脚本基础。 三. 实验设备与软件平台 UNIX 服务器一台,工作站数台,Tcl 8.3.2。 四. 实验要求 (1)掌握Tcl 的基本语法、命令结构。 (2)编写脚本程序实现1 到100 的累加。 五. 实验步骤 阅读第二节内容并完成如下实验:

IC设计基础(流程、工艺、版图、器件)-笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目) 15、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?(仕兰微面试题 目) 16、请描述一下国内的工艺现状。(仕兰微面试题目)

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

IC设计的一些事情

当你坐在计算机旁工作或在网上冲浪,当你打开电视机欣赏节目,当你在川流不息的人群中拿起无绳电话,当你的VCD或DVD正在播放惊心动魄的hoolywood 电影......你可知道在这些和我们的生活悉悉相关的IC设计者(大规模集成电路)在默默的工作。 个人电脑、因特网、无绳电话、天气预报、模拟战争、空中预警、导弹卫星......几乎所有的新名词都和IC密切相关。IC工业的成就和未来正引起人类社会新的变革。当比尔.盖茨在condex大会上为我们描绘如诗般的internet生活;当intel和amd宣布里程碑式的1G处理器;你是否了解为致力于创造和改变人们生活方式的IC设计工程师是如何把我们的每一个梦想变成现实? 笔者愿以一个普通设计人员的身份帮你撩开IC设计的神秘面纱。 1,项目和课题; (1)Herbert Kroemer说过这样的名言:“任何一种新的并具创造性的技术的应用原理总是,也一直都是,因为这种技术所创造的应用。” 设计IC的唯一目的就是为了满足某种需求,譬如CPU和DRAM是为了计算机而存在;而80C51系列单片机就是因为很多的工控应用而蓬勃发展,而象mpeg1,mpeg2,mp3解码器这些专用电路更是目的明确。因此IC设计项目总是和应用密切相关。不要盯住无用的“新技术”而投入过份的精力。早在voodoo之前Nviria公司就创造了曲面帖图技术,但这种技术太超前了,以致它现在都是不切实际的幻想。然而任何IC开发计划又都必须具有前瞻性,只是这种前瞻性必须是也只能是:当芯片在制造厂流片成功时正是它所对应的技术即将或大量应用时。 (2)在IC设计行业,“时间就是金钱”是永远不变的铁律。 没有那个公司会做过时的IC,再傻的老板都不会在现在把开发mpeg1或10M以太网芯片做为自己的目标,因为技术和应用发展的方向正在淘汰他们,一切不和时宜和不具前瞻性的项目都不具吸引力。我所在的term就将千兆以太网芯片作为自己的努力方向,因为它比现在正流行的传输率快一个阶段。随千兆以太网标准的推出,未来的局域网应用一定会是千兆的天下,这称为技术贮备。NVIDIA公司在推出TNT2时早在研发NV20。符合技术发展潮流和应用规律的项目是保证投资回报和团队生存的基本要求。 (3)“没有人愿意和巨人打架”,syrex和IDT的失败正是这句话的真实印证。任何产品目标都必须是切实可行符合业界规范的。一个小的刚刚涉足IC设计的trem将CPU设计作为自己的目标无疑是可笑和毫无意义的。他必须了解自己的研发能力可以达到什么样的程度,这包括了项目带头人的能力和技术专长,包括了整个团队的开发经验等等。在IC设计中,最讲究的就是要“专”,不要什么都想干,往往什么都干不成。比如一个在网络开发方面有经验的TERM没必要选择开发单片机,最可能的是他会开发网路产品而在需要用单片机或DSP作为microcontroller时去买nation semiconducter或TI的芯核(我们所属的TMI公司就是这样);我们在开发USB芯片的过程中,从来不把host controller作为自己的目标,因为作为一个在国内的刚刚组建的IC design term,我们根本没有技术,经验和能力去和nec、philips、intel或、nation semiconductor比较。即使我们研发的USB1.1标准的芯核也只可以作为usb接口的以太网卡的一部分来使用,而不是作为一款单独的产品; 众所周知曾经有中国的SVCD规范出台,SVCD的最终失败正是因为它不符合国际标准;符合标准是IC设计的前提,计算机产业的迅速发展正是因为它的标准化。对标准的兼容性是一片IC是否可以被市场认可的关键。VIA正是因为intel在很多技术上的专利而不得不收购S3、syrex等公司来换取技术专利交换协议以保持和intel处理器的兼容性。另外,一个研发团队对标准的掌握程度和速度直接决定产品在市场中的成败。我们在开发USB接口的100M以太网卡芯片的过程中,之所以USB部分开发迅速,而network的mac部分遇到

电路设计实验报告

电子技术课程设计 题目: 班级: 姓名: 合作者:

数字电子钟计时系统 一、设计要求 用中、小规模集成电路设计一台能显示时、分、秒的数字电子钟,基本要求如下: 1、采用LED显示累计时间“时”、“分”、“秒”。 2、具有校时功能。 二、设计方案 数字电子钟主要由振荡器、分频器、计数器、译码器、显示器等几部分组成,其整体框图为 其中,秒信号发生器为:

由石英晶体发出32768Hz的振荡信号经过分频器,即CD4060——14级串行二进制计数器/分频器和振荡器,输出2Hz 的振荡信号传入D触发器,经过2分频变为秒信号输出。 校时电路为: 当K1开启时,与非门一端为秒信号另一端为高电位,输出即为秒信号秒计数器正常工作,当K1闭合,秒信号输出总为0,实现秒暂停。 当K2/K3开启时,分信号/时信号输入由秒计数器输出信号及高电平决定,所以输出信号即为分信号/时信号,当K2/K3闭合时,秒信号决定分信号/时信号输出,分信号/时信号输出与秒信号频率一致, 以实现分信号/时信号的加速校时。 秒、分计数器——60进制

首先,调节CD4029的使能端,使其为十进制加法计数器。将输入信号脉冲输入第一个 计数器(个位计数器)计十个数之后将,进位输出输给下一个计数器(十位计数器)的进位 输入实现十秒计数。当计数器的Q1,Q2输出均为1时经过与门电路,输出高电平,作为分 脉冲或时脉冲并同时使两计数器置零。 时计数器——24进制 时脉冲 首先,调节CD4029的使能端,使其为十进制加法计数器。将输入信号脉冲输入第一个 计数器(个位计数器)计十个数之后将,进位输出输给下一个计数器(十位计数器)的进位 输入实现十秒计数。当十位计数器Q1和个位计数器Q2输出均为1时经过与门电路,输出 高电平使两计数器置零。 译码显示电路

关于IC验证经验的总结

关于IC验证经验的总结 完整的、详细的设计规范是验证工作的重要起点。 验证工作根据设计规范(Specification)进行,详细的Spec是RTL代码的编写工作的依据,也是验证工作的依据。当验证过程发现DUT的响应与testbench预计的不符时,需要根据Spec判断是DUT出现错误还是testbench出现错误。 参数化的全局定义 ?Register相关位及其数值的全局宏定义。reg_define.v ?相关路径的全局宏定义。define_board.v ?系统重要变量的显示信息。display.v ?与Register相关的比较任务和报错任务。reg_cmp ?时钟周期参数的定义,一般局部定义,用parameter定义。 存取波形及相应变量的数据,使用`ifdef为全局定义使用 1.波形源头文件是VCD波形,但过于庞大,可用来做功耗分析。 $dumpfile(“wave.vcd”); $dumpvars(0,xxx); $dump0ff; $dumpflush; 2.SHM波形是Cadence的,可以用simvision打开。 $shm_open(“wave.shm”); $shm_probe(xxx,“AST”); $shm_close; 3.FSDB波形是Novas的,可以用nwave打开。 $fsdbDumpfile(“wave.fsdb”); $fsdbDumpvars(0,xxx); 4.VPD波形是Synopsys的,可以用dve打开。 $vcdplusfile(“wave.vpd”); $vcdpluson(0,xxx); 5.变量的存取,可以使用宏来选择变量的存取与否与存取时间使用。

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

中国IC设计公司现状和发展分析

中国IC设计公司现状和发展分析 1. 200万门是最大设计规模 本次调查显示了中国IC设计公司的地域分布特点,84%的IC设计公司主要集中在沿海城市及北京市,其中上海、无锡和杭州三地占40%,北京占26%,深圳为18%,成都/重庆占5%,西安和武汉分别为4%和3%。 目前,中国IC设计公司的主要资金来源是自筹和政府,中小规模的公司占主体,如下图图1所示。 在被调查的公司中,平均每个公司有6个产品系列,44%的受访公司产品系列在5个以下,20个以上占10%。目前,中国IC设计公司的最大设计规模为200万门(图1)。数字IC产品的设计水平主要集中在0.25到0.5微米以及0.5到1.5微米内,分别占34%和29%,小于0.25微米仅占20%;模拟IC中50%采用0.5到1.5微米,1.5微米以上占42%。 2. 主流产品通信类第一、消费类第二 42%受访公司的产品主要应用领域为通信,34%为消费类,分别占第一、二位;工业电子和计算机类分别占10%和8%。受访公司的主要产品集中在ASIC、MCU、视频类IC和数模混合IC,如图1所示,显示了通信领域对ASIC和MCU的巨大需求。另一方面也反映出由于经济实力和规模的制约,ASSP等标准器件的设计仍然处于弱势。 通信类产品是目前国产IC中最主要的一类,本次调查显示42%的公司涉足该类产品,52%的受访者认为此类产品发展前景最好(图2),28%的受访公司在未来的两年中将会推出通信类IC产品,但仅为第二位(图3),暴露出中国IC设计公司对更高技术含量的通信类设计仍信心不足。随着中国在通信基础设施的大量资金投入,通信IC的市场的进一步扩大必将吸引更多国内IC设计公司的关注。请参见图2,图3。 图2 图3 在被调查公司的产品类型中,电视/视频/显示相关产品占12%,位居第三。该数据显示未来视频相关产品为广大IC公司所看好,这与目前宽带到户、数字HDTV、MPEG技术的发展趋势

集成电路设计实验2

集成电路设计实验报告 院别:电信学院专业:电子科学与技术 班级:电子姓名:学号:组序: 实验(二)题目名称:CMOS反相器的版图设计(PMOS、NMOS) 成绩:教师签名:批改时间: 一、实验目的: 在集成电路设计当中,集成电路设计软件的介入大大的缩短了开发周期,减小了设计风险,使得我们在设计的时候可以发现并改正电路设计上的绝大多数bug。所以说学习设计软件已经成为集成电路设计工程师的必修课。而Ledit软件以其良好的人机操作界面,以及强大的设计规则检查能力而在集成电路的设计当中充当了很重要的角色。在此次试验当中我们需要独立完成CMOS反相器的版图设计,规则检查,以及排除错误工作。从而达到比较熟练的掌握Ledit 的基本功能已经操作方法。 二、实验要求: 如将设计好的电路制成实际使用的集成块,就必须利用版图工具将设计的电路采用标准工艺文件转换成可以制造的版图。然后再将版图提交给集成电路制造厂家(foundry),完成最后的集成块制造,所以画版图的本质就是画电路原理图。 在画版图时,首先要明白工艺文件的含义,每一种工艺文件代表一条工艺线所采用的光刻尺寸,以及前后各个工序等等;其次要懂得所使用的工具步骤及各个菜单及菜单栏的内容,以便熟练使用该软件;最后对所画版图进行验证,确保不发生错误。 此外,还必须了解所使用的版图设计法则,对于不同的工艺尺寸其法则有所不同,这就要求设计者在应用该软件时,必须熟悉相应的设计法则,为完成正确的版图做准备。该实验原理是画常见的CMOS反相器,画版图时要求熟悉CMOS反相器的工艺过程及设计法则。

三、实验方法: 首先在实验一的基础上进一步熟悉L-EDIT版图设计软件的工具及工艺库,比较熟练地掌握该软件画版图的方法。以CMOS反相器为例,在前面画的PMOS、NMOS 的基础上,通过调用将他们组合到一起,再完成整个CMOS反相器的设计,设计完成后运用该软件的设计规则对所画的版图进行DRC验证,并修改不正确的部分,直至设计无错误。 四、实验内容: 1.运行L-Edit程序时,L-Edit会自动将工作文件命名为Layout1.tdb并显示在窗口的标题栏上。 2.另存为新文件:选择执行File/Save As子命令,将自己的工程文件保存在C:\DocumentsandSettings\Administrator\桌面\实验相关\Tanner\Ledit90\Samples\SPR\exam ple1中,在“文件名”文本框中输入新文件名称:NOMS。保存到example目录的原因是防止后面做剖视图的时候没法进行。 3.替换设置信息:选择执行File/Replace Setup子命令打开对话框,单击“From File”栏填充框的右侧的Browser按钮,选择C:\Documents and Settings\Administrator\桌面\实验相关\Tanner\Ledit90\Samples\SPR\example1\lights.tdb文件,如图所示,单击OK就将lights.tdb文件中的格点、图层、以及设计规则等设定应用在当前工程中。 4.画出PMOS:按照实验一的步骤,设计PMOS的版图。并进行相应的规则检查,直到没有错误。画好后如图所示:

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

模拟CMOS集成电路设计课程设计实验报告(二级放大器的设计)

模拟CMOS集成电路设计课程设计报告 --------二级运算放大器的设计信息科学技术学院电子与科学技术系

一、概述: 运算放大器是一个能将两个输入电压之差放大并输出的集成电路。运算放大器是模拟电子技术中最常见的电路,在某种程度上,可以把它看成一个类似于BJT 或FET 的电子器件。它是许多模拟系统和混合信号系统中的重要组成部分。 它的主要参数包括:开环增益、单位增益带宽、相位阈度、输入阻抗、输入偏流、失调电压、漂移、噪声、输入共模与差模范围、输出驱动能力、建立时间与压摆率、CMRR、PSRR以及功耗等。 二、设计任务: 设计一个二级运算放大器,使其满足下列设计指标: 工艺Smic40nm 电源电压 1.1v 负载100fF电容 增益20dB 至少40dB 3dB带宽20MHz 输入小信号幅度5uV 共模电平自己选取 输出共模电平自己选取 电路结构两级放大器 相位裕度60~70度 功耗无要求 三、电路分析: 1.电路结构:

最基本的二级运算放大器如下图所示,主要包括四部分:第一级放大电路、第二级放大电路、偏置电路和相位补偿电路。 2.电路描述: 输入级放大电路由PM2、PM0、PM1和NM0、NM1组成。PM0和PM1构成差分输入对,使用差分对可以有效地抑制共模信号干扰;NM0和NM1构成电流镜作为有源负载;PM2作为恒流源为放大器第一级提供恒定的偏置电流。 第二级放大电路由NM2和PM3构成。NM2为共源放大器;

PM3为恒流源作负载。 相位补偿电路由电阻R0和电容C0构成,跨接在第二级输入输出之间,构成RC米勒补偿。 此外从电流电压转换角度来看,PM0和PM1为第一级差分跨导级,将差分输入电压转换为差分电流。NM0和NM1为第一级负载,将差模电流恢复为差模电压。NM2为第二级跨导级,将差分电压信号转换为电流,而PM3再次将电流信号转换成电压信号输出。 偏置电压由V0和V2给出。 3.静态特性 对第一级放大电路: 构成差分对的PM0和PM1完全对称,故有 G m1=g mp0=g mp1 (1) 第一级输出电阻 R out1=r op1||r on1 (2) 则第一级电压增益 A1=G m1Rout1=g mp0,1(r op1||r on1) (3) 对第二级放大电路: 电压增益 A2=G m2R out2= -g mn2(r on2||r op3) (4) 故总的直流开环电压增益 A0=A1A2= -g mp0,1g mn2(r op1||r on1)(r on2||r op3) (5)

数字ic设计实验报告

数字集成电路设计 实验报告 实验名称二输入与非门的设计 一.实验目的 a)学习掌握版图设计过程中所需要的仿真软件

b)初步熟悉使用Linux系统 二.实验设备与软件 PC机,RedHat,Candence 三.实验过程 Ⅰ电路原理图设计 1.打开虚拟机VMware Workstation,进入Linux操作系统RedHat。 2.数据准备,将相应的数据文件拷贝至工作环境下,准备开始实验。 3.创建设计库,在设计库里建立一个schematic view,命名为,然后进入电路 图的编辑界面。 4.电路设计 设计一个二输入与非门,插入元器件,选择PDK库(xxxx35dg_XxXx)中的nmos_3p3、 pmos_3p3等器件。形成如下电路图,然后check and save,如下图。 图1.二输入与非门的电路图 5.制作二输入与非门的外观symbol Design->Create Cellview -> From Cellview,在弹出的界面,按ok后出现symbol Generation options,选择端口排放顺序和外观,然后按ok出现symbol编辑界面。按照需 要编辑成想要的符号外观,如下图。保存退出。

图2.与非门外观 6.建立仿真电路图 方法和前面的“建立schemtic view”的方法一样,但在调用单元时除了调用analogL 库中的电压源、(正弦)信号源等之外,将之前完成的二输入与非门调用到电路图中,如下图。 图3.仿真电路图 然后设置激励源电压输出信号为高电平为3.5v,低电平为0的方波信号。 7.启动仿真环境 在ADE中设置仿真器、仿真数据存放路径和工艺库,设置好后选择好要检测的信号在电路中的节点,添加到输出栏中,运行仿真得到仿真结果图。

如何成为一名IC设计工程师

如何成为一名IC设计师 IC设计不同于一般的板级电子设计,由于流片的投资更大,复杂度更高,系统性更强,所以学习起来也有些更有意思的地方。 那么如何才能成为一个优秀的IC设计工程师? 首先,作为初学者,需要了解的是IC设计的基本流程。应该做到以下几点:基本清楚系统、前端、后端设计和验证的过程,IC设计同半导体物理、通信或多媒体系统设计之间的关系,了解数字电路、混合信号的基本设计过程,弄清楚ASIC,COT这些基本的行业模式。窃以为这点对于培养兴趣,建立自己未来的技术生涯规划是十分重要的。学习基本的设计知识,建议读一下台湾CIC的一些设计教材,很多都是经典的总结。 EDA技术的学习:对于IC设计者来说,EDA工具意义重大,透过EDA工具商的推介,能够了解到新的设计理念。国内不少IC设计者,是单纯从EDA的角度被带入IC设计领域的,也有很多的设计者在没有接触到深亚微米工艺的时候,也是通过EDA厂家的推广培训建立基本概念。同时,对一些高难度的设计,识别和选择工具也是十分重要的。如果你希望有较高的设计水平,积累经验是一个必需的过程。经验积累的效率是有可能提高的。以下几点可以参考: 1.学习借鉴一些经典设计,其中的许多细节是使你的设计成为产品时必需注意的。有些可能是为了适应工艺参数的变化,有些可能是为了加速开关过程,有些可能是为了保证系统的稳定性等。通过访真细细观察这些细节,既有收益,也会有乐趣。项目组之间,尤其是项目组成员之间经常交流,可避免犯同样错误。 2.当你初步完成一项设计的时侯,应当做几项检查:了解芯片生产厂的工艺,器件模型参数的变化,并据此确定进行参数扫描仿真的范围。了解所设计产品的实际使用环境,正确设置系统仿真的输入条件及负载模型。严格执行设计规则和流程对减少设计错误也很有帮助。 3.另外,你需要知识的交流,要重视同前端或系统的交流,深刻理解设计的约束条件。作为初学者,往往不太清楚系统,除了通过设计文档和会议交流来理解自己的设计任务规范,同系统和前端的沟通是IC设计必不可少的。所谓设计技巧,都是在明了约束条件的基础上而言的,系统或前端的设计工程师,往往能够给初学者很多指导性的意见。 4.查文献资料是一个好方法。多上一些比较优秀的电子网站,如中国电子市场网、中电网、电子工程师社区。这对你的提高将会有很大的帮助。另外同“老师傅”一同做项目积累经验也较快。如果有机会参加一些有很好设计背景的人做的培训,最好是互动式的,也会有较好的收获。 5.重视同后端和加工线的交流:IC设计的复杂度太高,除了借助EDA工具商的主动推介来建立概念之外,IC设计者还应该主动地同设计环节的上下游,如后端设计服务或加工服务的工程师,工艺工程师之间进行主动沟通和学习。对于初学者来说,后端加工厂家往往能够为他们带来一些经典的基本理念,一些不能犯的错误等基本戒条。一些好的后端服务公司,不仅能提供十分严格的Design Kit,还能够给出混合信号设计方面十分有益的指导,帮助初学者走好起步之路。加工方面的知识,对于IC设计的“产品化”更是十分关键。 6.重视验证和测试,做一个“偏执狂”:IC设计的风险比板级电子设计来的更大,因此试

集成电路CAD实验报告

集成电路CAD实验报告 姓名:席悦学号:2120503018 班级:微电子31班 一、实验目的: 通过设计一个简单的缓冲器的原理图到最终的版图,对Cadence的Composer,Analog Design Environment,Virtuoso,Assura等各大功能模块逐一了解,使学生掌握模拟集成电路设计的总体流程,为日后的学习、工作打下坚实的基础。 二、实验项目: 1.缓冲器的设计: 在配置好Cadence之后,进入Cadence的CIW界面。 为设计一个完整的缓冲器,首先需要设计一个反相器。利用Cadence的电路编辑工具Composer-Schematic绘制如下图所示的inverter电路: 之后利用此inverter Schematic 构建如下图所示的inverter Symbol:

我们知道,一个Buffer是由两个Inverter组成,利用前边构建Inverter Schematic的方法,画出缓冲器Buffer的电路原理图:

其中的反相器直接调用之前做好的Inverter的Symbol。同样的,利用此缓冲器的原理图生成相应的缓冲器Symbol图: 之后构建仿真电路,对所设计的Buffer电路进行电路仿真(ADE)。仿真电路图如下:

在仿真过程中,我们分别采用tt,ss,ff工艺角进行仿真,得到了如下的波形图和仿真数据: ①tt工艺角: 其相应数据参数为: Marker,/I5/V1,/OUT,/IN M0:Y,900mV,900mV,900mV x[0],111.36ps,778.31ps,50ps x[1],5.1063ns,5.9952ns,5.05ns ②ss工艺角: 其相应数据参数为: Marker,/I5/V1,/OUT,/IN

IC设计经验总结

I C设计经验总结 一、芯片设计之前准备工作: 1)根据具体项目的时间要求预订MPW班次,这个可以多种途径完成。 (1):一方面可以跟中科院EDA中心秦毅等老师联系,了解各个工艺以及各个班次的时间。半导体所是EDA中心的会员单位,他们会很热心的帮助完成。 (2):另一方面可以和具体项目合作的单位如清华等,根据他们的流片时间来制定自己的流片计划。 2)仔细核对设计库的版本更新情况,包括PDK、Spectre Model以及RuleDecks。这些 信息可以直接可以从中科院EDA中心获得,或者从相应的合作单位进行沟通统一。 这一点对后续的设计很重要,请务必要引起重视。 3)得到新的工艺库必须整体的熟悉一下,好好的查看里面的Document以及Userguide 之类的,里面的很多信息对实际设计很有帮助。安装工艺库的过程会根据具体设计要求做出一些选着。如TSMC65nm工艺库在安装过程中会提示是否选着RF工艺、电感是否使用厚层金属、MIM电容的单位面积电容值等之类的。 4)制定TapeOut的具体Schedule. 这个Schedule的制订必须请相关有经验的人来核 实,第一次TapeOut的人往往缺乏实际经验,对时间的安排可能会不合理。一旦Schedule制订好后,必须严格按照这个时间表执行。当然必须赶早不赶晚! 二、芯片设计基本系统框图一

图一 三、模拟IC设计基本流程 3.1) 设计框图如下图二 电路样式选择 电路结构确定 参数的选定 以及仿真 优化以及可 靠性仿真 图二

3.2 电路的式样确定 这个主要是根据系统设计结果,分析和确定模拟电路的详细的式样。 3.3 电路的结构确定 根据单元模块电路的功耗、代价等各个指标的折中分析,确定各个单元模块的具体实现电路形式,如滤波器是无源滤波器还是有源滤波器,有正交VCO产生I/Q信号还是通过/2分频器来实现I/Q信号,用差分形式还是用单路形式等等。在具体电路的选取过程中,我们需要查阅了大量的IEEE文献,从中选取了比较成熟的,应用较广的电路结构来进行我们的设计工作。有时候可能会发现所确定的结构很难或者根本不可能满足技术指标的要求,这就需要改进结构或者查阅文献,设法满足要求。 3.4 参数的选取和仿真 电路参数的选定与电路的仿真是分不开的。在比较重要的设计任务中,手算可以在20%的时间内完成80%的设计工作量,剩下的20%却需要花80%的时间来做。通过手算确定的参数是近似的,有时候会引错方向。但是它可以了解到参数的变化对设计会有多大的影响,是很有必要的。而采用计算机的反复迭代会使设计者对设计体会不深,不是明智的办法。 俗话说“公欲善其事,必先利其器”。目前,在公司内部可以使用多种EDA工具进行电路仿真。对于EDA工具的使用不在于多,能够精通常用的一类或者几类就行。最主要的时候能够灵活的进行仿真规划,知道什么样的电路适合用什么样的仿真工具。 -HSPICE;对于低频电路设计来说,HSPICE是一种最灵活方便的工具,而且其仿真精度也比较高,后来被SYNOPSYS收购,好像也正是因为这个原因使得如今的Hspice仿真速度以及精度都可以跟Cadence产出的仿真器相媲美了。业界使用Hspice作为仿真软件的也挺多,原先是avanti公司的, -Spectre;是Cadence的仿真器,由于其是图形界面,所以很直观。 -SpectreRF:对于射频电路设计,SpectreRF是一种不错的选择。 -UltraSim:相比于Spertre而言,在仿真精度损失3%的情况下,可以加速10~100倍的仿真速度。而且进行整体芯片后仿真时候,我们可以根据其不用的精度要求来设置各个模块的仿真精度。UltraSim Full-Chip Simulator for faster convergence on goals and signoff of post-layout designs at the chip level. 具体UltraSim的使用可以参考《Virtuoso? UltraSim Simulator User Guide》、《ADE/UltraSim Integration Tutorial》等。在网上相关资料很多,可以根据要求自己下载学习。 -APS:Accelerated Parallel Simulator delivers high-precision SPICE and scalable

集成电路设计课程实验报告

VLSI设计课程实验报告 一、第一题 1、实验要求 从L-Edit的spr/examplel/lightslb.tdb库中研究一个六管电路,将其还原成CMOS电路结构并说明逻辑功能。 我们选择三输入的或非门作为讨论对象。 2、三输入的或非门的版图 图1 三输入或非门的版图

3、版图的分析 如图1,从左到右上面的三个MOS管分别标记为M1、M2和M3,下面的三个为M4、M5和M6。其中粉红色的三个长方形为栅极,分别连接输入信号A、B和C。黑色的接触孔连接第一层金属和MOS管有源区,白色的接触孔连接第一层金属和第二层金属。观察下面的三个MOS管,M4源极接地,漏极接OUT;M5和M4公用一个漏极,M5源极接地;M6和M5公用一个源极,漏极接OUT,即M4、M5和M6并联。同理,可分析出M1、M2和M3串联到电源。所以,版图为3输入的或非门。 在Ledit下执行Tools/Extract命令,即可将版图提取为网表文件,可知六个晶体管的L=2um,W=28um,PMOS管的衬底都接电源,NMOS管的衬底都接地。4、三输入或非门电路图 图2 三输入或非门的电路图

二、第二题 1、实验要求 基于CSMC0.6um dpdm CMOS工艺规则以及SPICE参数,画出一个CMOS 反向器,要求P管的沟道宽度是N管的3倍,并在输入激励的tr为500ps,tf为300ps时,用T-SPICE进行模拟,并分别给出负载Cl为0.01pf和1pf时的反向器延时tr和tf。 2、电路图 图3 反相器的电路图 参数设置: NMOS L=0.6u W=3u AD=5.7p PD=9.8u AS=5.7p PS=9.8u PMOS L=0.6u W=9u AD=17.1p PD=21.8u AS=30.06p PS=25.4u 电源电压为5V,输入信号的高低电平分别为 5V,0V 3、绘制的版图

相关主题
文本预览
相关文档 最新文档