当前位置:文档之家› 第3章 基于可编程逻辑器件的数字系统设计

第3章 基于可编程逻辑器件的数字系统设计

数字系统设计技术实验指导书

Experiment 2 Designing Number Comparer 实验目的: 熟悉QuartusII 的开发环境 熟练掌握编程开发流程 学习VHDL 的基本语法 学习VHDL 编程设计 实验内容:数值比较器设计 实验要求:熟练掌握QuartusII 开发环境下对可编程逻辑器件进行程序化设计的整套流程 设计输入使用插入模板 (Insert Template ) 在QuartusII 开发环境下对设计程序进行时序仿真 将生成的配置文件下载到实验板,进行最终的实物测试验证 实验原理:根据两位二进制数的大小得到对应的比较结果,其电路示意图及电路特性表为: 比较器特性表 比较器电路示意图 实验报告内容要求: (1) 实验目的; (2) 实验内容; (3) 实验要求; (4) 实验原理; (5) 程序编写; (6) 程序编译(首先选择器件具体型号); (7) 功能仿真和芯片时序仿真; (8) 芯片引脚设定; (9) 适配下载结果及结论。 Number Comparer A(3..0) B(3..0) In_s In_l In_e Yl Ye Ys Y

Experiment 3 Designing 8 to1-Multiplxer 实验目的:熟悉QuartusII的开发环境 熟练掌握编程开发流程 学习VHDL的基本语法 学习VHDL编程设计 实验内容:八选一数据选择器设计。 实验要求:熟练掌握QuartusII开发环境下对可编程逻辑器件进行程序化设计的整套流程设计输入使用插入模板(Insert Template) 在QuartusII开发环境下对设计程序进行时序仿真 将生成的配置文件下载到实验板,进行最终的实物测试验证 实验原理: 电路功能表及其电路外部符号如下: 电路功能表 实验报告内容要求: (1)实验目的; (2)实验内容; (3)实验要求; (4)实验原理; (5)程序编写; (6)程序编译(首先选择器件具体型号); (7)功能仿真和芯片时序仿真; (8)芯片引脚设定; (9)适配下载结果及结论。

数字电路与系统设计课后习题答案

(此文档为word格式,下载后您可任意编辑修改!) 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 解:分别代表28=256和210=1024个数。 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 解:结果都为(FF)16 1.8转换下列各数,要求转换后保持原精度: (0110.1010)余3循环BCD码=(1.1110)2 1.9用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011.01)2=(11.25)10=(0100 0100.0101 1000)余3BCD (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。 A-B=(101011)2=(43)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。

数字系统设计大作业

2014 ~ 2015学年第1 学期 《数字系统设计》 大作业 题目:4×4阵列键盘键信号检测电路设计专业:电子信息工程 班级: 姓名: 指导教师: 电气工程学院 2015 年12月

摘要 人类文明已进入到高度发达的信息化社会。信息化社会的发展离不开电子信息产品开发技术、产品品质的提高和进步。电子信息产品随着科学技术的进步,其电子器件和设计方法更新换代的速度日新月异。实现这种进步的主要原因就是电子设计技术和电子制造技术的发展,其核心就是电子设计自动化(EDA,Electronic Design Automation)技术,EDA技术的发展和推广应用又极大地推动了电子信息产业的发展。为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的一项先进技术和重要工具。目前,在国内电子技术教学和产业界的技术推广中已形成“EDA热”,完全可以说,掌握EDA技术是电子信息类专业学生、工程技术人员所必备的基本能力和技能。 本设计主要利用VHDL硬件描述语言在EDA平台xilinx.ise.7.1i上设计一个4×4阵列键盘扫描电路,将行扫描信号输入阵列键盘,读取列信号的值,输出按键编码,从而判断出按键按下的位置。并且使用Modelsim软件进行模拟仿真,下载到EDA实验箱进行硬件验证。 关键词:EDA VHDL语言 4×4阵列键盘扫描

目录 《数字系统设计》 (1) 数字系统设计.............................................................................. 错误!未定义书签。摘要 (2) 关键词:EDA VHDL语言 4×4阵列键盘扫描 (2) 1、实验目的 (4) 2、实验要求 (4) 3、实验原理 (4) 4、总体框图 (5) 4.1.1方案一 (5) 4.1.2方案二 (5) 4.2设计思路 (6) 5、功能模块介绍 (8) 5.1键盘消抖模块 (8) 5.2键盘模块 (8) 5.3VHDL部分程序 (8) 6、实验结果 (10) 6.1综合电路图 (10) 6.2时序仿真 (11)

数字化干部人事档案理系统简介

数字化干部人事档案管理系统功能介绍 ******有限公司

目录 一、设计宗旨 (2) 二、系统功能介绍 (2) 2.1系统总体规划 (2) 2.2系统功能列表: (3) 2.3数字化档案采集系统 (6) 2.3.1档案目录整理 (7) 2.3.2档案数字化采集 (7) 2.3.3档案材料审核 (8) 2.3.4系统维护 (8) 2.3.5其他功能 (9) 2.4数字化档案管理系统 (9) 2.4.1档案案卷管理 (9) 2.4.2档案材料管理 (10) 2.4.3 档案审核 (11) 2.4.4 信息管理 (12) 2.4.5 系统维护 (12) 2.5 数字化档案网上阅档系统 (13) 2.5.1系统综述 (13) 2.5.2角色说明 (14) 2.5.3档案查阅 (15) 2.5.4消息系统 (15) 2.6 系统前景 (16) 三、操作系统及硬件配置要求(推荐) (16) 附:数字化干部档案查询系统演示操作说明 (16)

一、设计宗旨 干部人事档案工作是组织人事部门工作中的重要组成部分,是做好干部管理工作的基本前提。随着各行业计算机现代化管理水平的不断提高,传统的干部档案管理工作模式逐渐显露出一定的弊端,工作效率不高、原件易损等。传统的管理模式已不能完全适应经济社会发展及干部队伍发展的需要,迫切需要运用现代化的计算机手段实现安全、准确、快捷、高效的管理模式,干部档案的数字化管理也是信息化社会发展的必然趋势,是提高管理水平、工作效率、服务质量的必然要求,是发挥干部档案作用、深化档案管理职能的必然选择。能够促进干部档案管理工作的科学化,推进组织部门工作的信息化,提高工作效率,健全管理体制,加快信息化建设的步伐。 二、系统功能介绍 该系统是对传统干部档案管理工作的一次创新,能够实现对档案及材料的收集、鉴别、整理、保管、转递、统计、查阅等日常工作的数字化管理,并可通过局域网络、组织系统专网实现干部档案的网上浏览和远程查借阅功能。按照干部档案业务工作流程,经过分管领导批准、档案管理人员的授权,单位内部阅档人员可以在各自办公室的计算机上查阅干部档案,外来查档单位可以在阅档室进行登记后,通过计算机查阅电子档案,也可以通过网络实现远程阅档。系统全面运行后,可以大大提高日常工作效率,提升干部档案工作服务的水平和质量,实现干部档案由传统管理向干部信息研究与利用的质的转变。 2.1系统总体规划 “数字化干部档案管理系统”由四部分组成:信息采集部分、档案管理部分、信息利用部分和安全控制部分。基础数据的来源可从“组织干部管理系统”中得到或通过纸制档案的录入、档案材料的扫描、档案目录数据的导入等多种途径进行数据收集。并可通过单位内部办公平台完成档案信息的批量采集、协同处理,构建网络信息采集协同处理平台。档案管理部分包括:档案案卷管理、档案材料管理、档案审核及系统维护功能。信息利用部分可完成档案的统计、查询、网上阅档等功能。

数字档案管理系统手册

系统概述 档案信息化的起步是以计算机技术的发展为基础,二十世纪七八十年代,随着计算机的引入,我国档案界开始尝试运用计算机管理档案。二十世纪中期到二十一世纪初,国家和地方政府对档案信息化建设的投入有较大程度的增加,档案部门配置的信息化设备越来越多,档案信息化建设的相关法规也得到了进一步的完善,档案信息化建设进入了快速发展时期。进入21世纪以后,网络信息技术的广泛应用,特别是电子政务的快速发展为档案信息化建设注入了新的活力。 虽然国内数字档案馆发展迅速,但是我们必须清醒的认识到:很多用户单位仍然在使用着单机版的档案类管理软件。这些档案类管理软件在功能上不够完善,在运行上不够稳定,在对档案的处理速度和精度方面,远远不及网络版的档案管理软件,无法满足日益增长的档案管理需求。 《数字档案馆管理系统》是济南国子数码科技有限公司自主开发的网络版的档案管理软件,该软件的设计遵循国家档案局(馆)的相关规范、标准,操作简单、性能稳定、数据安全性高,极大提高了数字档案馆的建设速度。 公司介绍 济南国子数码科技有限公司,独立软件开发商,已通过软件企业认定、软件产品认定、CMMI L3软件开发成熟度认证、ISO9001质量管理体系认证、ISO27001信息安全管理体系认证。公司以各类管理软件研发和软件系统集成为主营业务。 解决方案 国子数字档案馆管理系统立足建设一个区域性、开放型的文件、档案信息中心,为各级各类行政事业单位和企业提供数字化的网上档案管理、查询、服务。对有价值的图像、文本、语音、影视、软件和科学数据等多媒体进行收集、组织和规范化再加工,并进行高质量的保存和管理,通过网络提供高速的多媒体信息存取服务,有效提高档案部门信息化工作。 国子数字档案馆管理系统是以分布式的海量数据支撑,基于智能检索技术和高速宽带网络技术的大型开放、分布式信息库,结合先进的信息技术、主机系统、扫描技术、网络系统、存储技术和安全管理体系,使档案工作提高到全新的管理模式,为用户带来显著的管

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数字档案管理系统高校版用户操作手册

数字档案管理系统 ——高校标准版用户操作手册 上海新影捷信息技术有限公司 二00九年九月

目录 一、立卷归档 (3) 二、馆藏加工 (10) 三、档案管理 (11) 1、档案审核 (11) 2、档案鉴定 (12) 3、档案统计 (16) 3.1 馆藏统计 (16) 4、三性检查 (17) 三、档案服务 (17) 1、档案查询 (17) 1.1 基本查询 (17) 1.2 高级查询 (18) 1.3 通用查询 (21) 1.4 全文查询 (22) 1、档案利用 (25) 2.1 借阅登记 (25) 2.2 借阅审批 (27) 2.3 借阅管理 (28) 3、档案打印 (29) 四、系统配置 (31) 五、系统管理 (38) 1、用户管理 (38) 1.1 部门维护 (38) 1.2 人员维护 (39) 2、权限定义 (41) 2.1 数据权限 (41) 2.2 系统权限 (41) 2.3 档案门类权限 (43) 2.4 角色管理 (43) 2.5 用户角色赋权 (44) 3、数据管理 (44) 3.1 数据备份 (45) 3.2 数据还原 (45) 4、代码维护 (46) 5、操作日志 (47) 六、结束语 (49)

一、立卷归档 功能介绍 立卷归档:各个单位或部门用本单位的账户登录系统,对本单位或部门的档案进行预立卷操作,由原来的纸质立卷变为数字立卷,便于管理和查询。 1.1当你点击立卷归档时会出现如图所示的画面: 1.2 选择你要录入的档案门类点击进入,例如点了‘教学’如图所示:

1.3 接着点击你要录入的档案这里点‘教学综合’作为例子,点击后出现如图所示:

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数字档案管理系统技术要求

技术要求 一、项目背景及信息化现状 (一)项目的建设背景 随着技术的发展、社会的进步,德清县国土资源局在工作过程中产生了大量各类介质的原始材料,主要以纸制档案为主,由于工作中需要经常查阅相关的档案,这种以纸张介质为载体的档案查阅耗时、费力,导致工作效率低下,因此,必须将这些不同介质的原始材料实现数字化转换和处理,适合于信息时代的数字化管理及信息的共享。 目前德清县国土资源局不仅需要解决纸制材料的数字化转换和处理,还需要对数字化的电子档案资源进行有效管理,提供方便的档案查询和利用。 因此,本项目所用的档案管理系统软件要求具有极强扩展性并能适应各种复杂需求的档案解决方案,对档案扫描、档案管理、档案查询等功能,可以利用系统自由定义功能定制。 档案管理系统需要建立在现代信息技术的普遍应用基础上,利用数字手段,以高度有序的综合档案管理信息资源为处理核心,以高速带宽通信网络为技术设施的大规模、分布式数字信息系统。其功能涵盖对档案的数字化加工、数字档案信息的采集、处理、海量存储、归档、组织、发布、利用、管理全过程。包括统一平台基础之上的多个分布式的、大规模的、具有可互操作的电子档案资源管理系统。 (二)德清县国土资源局信息化现状 信息化建设采取总体规划、分步实施、网络平台建设结合基础应用开发的技术路线,经过几年的建设,德清县国土资源局已经建立了包括6台IBM服务器、1套EMC光纤磁盘阵列、1套磁带库及由思科网络设备组成的高性能千兆局域网络。 二、项目内容 德清县国土资源局本次档案信息化建设招标主要分为两大部分内容,进行统一招标: (一)德清县国土资源局数字档案管理系统定向开发 (二)德清县国土资源局纸质档案的数字化加工:

verilog数字系统设计教程习题答案

verilog数字系统设计教程习题答案 第二章 1.Verilog HDL 既是一种行为描述语言,也是一种结构描述语言。如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。这意味着利用Verilog语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。 2.模块的基本结构由关键词module和endmodule构成。 3.一个复杂电路系统的完整Verilog HDL模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用 Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。 4.Verilog HDL和VHDL作为描述硬件电路设计的语言,其共同的特点在于:能 形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。 5.不是 6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组 合装配的过程。 7.综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler,可以提供更高级的综合。 另外最近美国又出了一个软件叫Ambit,据说比Synopsys的软件更有效,可以 综合50万门的电路,速度更快。今年初Ambit被Cadence公司收购,为此Cadence 放弃了它原来的综合软件Synergy。随着FPGA设计的规模越来越大,各EDA公 司又开发了用于FPGA设计的综合软件,比较有名的有:Synopsys的FPGA Express,Cadence的Synplity, Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。 8.整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件 进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。用于适配,适配将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC、Jam格式的文件。

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

数字化档案管理系统方案书

数字化档案管理系统 方案书

目录 方案背景 (3) 一设计目标 (3) 二设计理念 (5) 三系统特点 (5) 四功能介绍 (7) 1登陆界面 (7) 2系统界面布局 (8) 3功能说明 (8) 3.1全宗管理 (8) 3.2档案录入 (9) 3.2.1立卷归档 (11) 3.2.2以件归档 (17) 3.3档案整理 (18) 3.3.1档案整理流程 (18) 3.3.2鉴定及回收站 (21) 3.3.2.1档案保管期限鉴定 (21) 3.3.2.2密级鉴定 (23) 3.3.2.3档案回收站 (23) 4档案检索 (24) 4.1直接检索 (24) 4.2组合检索 (26) 4.3定制检索 (28) 4.4系统条件 (29) 4.5未归档检索 (29) 5档案统计 (30) 5.1 档案数量统计 (30) 5.2目录数量统计 (32) 5.3档案利用情况统计: (33) 6档案借阅 (33) 6.1借阅审批 (34) 6.2我的借阅 (35) 7档案保管 (35) 7.1库房温湿度登记 (35) 7.2八防管理 (36) 7.3存放位置索引 (36) 8资料管理 (37) 8.1资料管理 (37) 8.1.1目录管理 (37) 8.1.2资料录入 (38) 8.1.3资料回收站 (39) 8.2资料借阅审批 (39) 8.3我的借阅 (40)

9数据处理 (40) 五系统技术架构与平台设计 (41) 六系统运行环境推荐 (42) 七技术服务与支持 (43)

方案背景 随着科学技术的不断进步,越来越多的档案管理单位通过采用先进的档案保存及维护技术,从硬件条件提升了档案的保存、维护能力,但与此对应的是,面对累积得越来越多的档案资料,档案管理单位苦于昂贵的档案管理人力资源成本以及档案存放空间场所的限制,使得档案管理工作举步维艰。 采用传统档案管理方式,更是出现了下列常见的“难题”: 1.频繁的档案原件借阅调用,使得档案原件面临“消耗性”损毁或破坏的问题。 2.传统人工管理档案的方式由于档案资料的累积,尤其是在面临大容量档案库的情形 下,更加凸显工作效率较低的难题。 3.对于多种类档案的保管,存在检索效率低下,准确性较差的问题。 4.涉及档案资料安全部分,可能存在只想授权某个范围内人员许可检索、查阅、调用, 而现实中无法严格区分界定上述权限设置。 5.传统档案管理以及借阅受制于地域限制,无法满足跨区域异地档案申请检索、查阅、 调用功能。 档案管理系统即是在这一背景下而开发,系统采用java技术开发,基于成熟稳定Struts MVC框架,,采用Spring为中间层,以ibatis作为持久化层;设计和架构具备良好的稳定性、扩展性、安全性、灵活地需求变动功能。 本档案管理系统可使档案管理单位档案管理的运行体系更为系统化,同时,以档案的归档、保存、维护、借阅为主线来驱动的档案管理流程将更为高效、便捷;由于系统采用了网络无纸化办公模式,在节省了办公成本的情况下,还减轻了档案工作人员的工作负荷,提升了档案工作人员的工作积极性,另外,档案管理系统也为档案利用对象提供了更为简洁的档案借阅体验,提高了档案利用的满意度。 一设计目标 档案管理系统的开发是为了通过建立一个统一的数据交流平台,在规范化档案资料收集整理的前提下,实现档案及文档信息最大化有效利用,从而为各项工作提供准确详实的参考信息。 本系统具体设计目标包含以下几个方面:

数字化档案管理系统方案书

数字化档案管理系统 方案书
1 / 45

目录 方案背景 .......................................................................................................................4b5E2RGbCAP 一 设计目标 ................................................................................................................. 4p1EanqFDPw 二 设计理念 ................................................................................................................... 5DXDiTa9E3d 三 系统特点 .................................................................................................................. 6RTCrpUDGiT 四 功能介绍 ................................................................................................................. 75PCzVD7HxA 1 登陆界面 ................................................................................................................ 8jLBHrnAILg 2 系统界面布局 .................................................................................................... 9xHAQX74J0X 3 功能说明 .............................................................................................................. 9LDAYtRyKfE 3.1 全宗管理 .................................................................................................... 9Zzz6ZB2Ltk 3.2 档案录入 .................................................................................................. 10dvzfvkwMI1 3.2.1 立卷归档 ....................................................................................... 12rqyn14ZNXI 3.2.2 以件归档 ..................................................................................... 17EmxvxOtOco 3.3 档案整理 ................................................................................................. 19SixE2yXPq5 3.3.1 档案整理流程 .............................................................................. 196ewMyirQFL 3.3.2 鉴定及回收站 ............................................................................. 21kavU42VRUs 3.3.2.1 档案保管期限鉴定............................................................ 21y6v3ALoS89 3.3.2.2 密级鉴定 .......................................................................... 23M2ub6vSTnP 3.3.2.3 档案回收站 ...................................................................... 230YujCfmUCw 4 档案检索 ................................................................................................... 24eUts8ZQVRd 4.1 直接检索 ....................................................................................... 24sQsAEJkW5T 4.2 组合检索 ........................................................................................ 26GMsIasNXkA 4.3 定制检索 ..........................................................................................27TIrRGchYzg 4.4 系统条件 ....................................................................................... 297EqZcWLZNX 4.5 未归档检索 ....................................................................................... 29lzq7IGf02E 5 档案统计 ..................................................................................................... 29zvpgeqJ1hk 5.1 档案数量统计 ................................................................................. 30NrpoJac3v1 5.2 目录数量统计 ................................................................................. 321nowfTG4KI 5.3 档案利用情况统计: ...................................................................... 32fjnFLDa5Zo 6 档案借阅 ..................................................................................................... 32tfnNhnE6e5 6.1 借阅审批 ....................................................................................... 33HbmVN777sL 6.2 我的借阅 .......................................................................................... 34V7l4jRB8Hs 7 档案保管 .................................................................................................... 3483lcPA59W9 7.1 库房温湿度登记 .............................................................................. 34mZkklkzaaP 7.2 八防管理 ......................................................................................... 35AVktR43bpw 7.3 存放位置索引 ............................................................................... 35ORjBnOwcEd 8 资料管理 .....................................................................................................362MiJTy0dTT 8.1 资料管理 ............................................................................................ 36gIiSpiue7A 8.1.1 目录管理 ............................................................................. 36uEh0U1Yfmh 8.1.2 资料录入 .............................................................................. 37IAg9qLsgBX 8.1.3 资料回收站 ....................................................................... 38WwghWvVhPE 8.2 资料借阅审批 ..................................................................................... 38asfpsfpi4k 8.3 我的借阅 ........................................................................................... 39ooeyYZTjj1 2 / 45

数字系统设计

东南大学自动化学院 《数字系统课程设计》 专业综合设计报告 姓名:_________________________ 学号: 专业:________________________ 实验室: 组别:______________________同组人员: 设计时间:年月日 评定成绩: _____________________ 审阅教师:

一.课程设计的目的与要求 二.原理设计 三.架构设计 四.方案实现与测试 五.分析与总结

专业综合设计的目的与要求(含设计指标) 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过, 在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄 灯亮则给行驶中的车辆有时间行驶到禁行线之外。 主干道和乡村公路都安装了传感器, 检测 车辆通行情况,用于主干道的优先权控制。 设计任务与要求 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通 行,让乡村公路通行。主干道最短通车时间为 25s 。 (3)当乡村公路和主干道都有车时,按主干道通车 25s ,乡村公路通车 16s 交替进行。 ( 4)不论主干道情况如何,乡村公路通车最长时间为 16s 。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮 5s 时间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极 管作交通灯。 要求显示时间,倒计时。 . 原理设计(或基本原理) HDL 语言,用ONEHOTI 犬态机编码表示交通灯控制器的四个状态(状态 0010,状态三: 0100,状态四: 1000): 设置两个外部控制条件:重置( set );乡村干道是否有车( c —— c=1 表示无车; c=0 表示有 车) 设置一个内部控制条件: 时间是否计满 ( state —— state=0 表示计数完成; state=1 表示计 数没有完成) 本设计采用 Verilog : 0001,状态二: 主干道红灯,显示 5 秒;乡村干道黄灯,显示 5 秒——( 0001) 主干道红灯,显示 21 秒;乡村干道绿灯,显示 16 秒——( 0010) 主干道黄灯,显示 5 秒;乡村干道红灯,显示 5 秒——( 0100) 主干道绿灯,显示 25 秒;乡村干道红灯,显示 30 秒——( 1000)

数字电路与系统设计课后习题答案

1、1将下列各式写成按权展开式: (352、6)10=3×102+5×101+2×100+6×10-1 (101、101)2=1×22+1×20+1×2-1+1×2-3 (54、6)8=5×81+54×80+6×8-1 (13A、4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1、2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1、3二进制数00000000~11111111与0000000000~1111111111分别可以代表多少个数?解:分别代表28=256与210=1024个数。 1、4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1、5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1、6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1、8转换下列各数,要求转换后保持原精度: 解:(1、125)10=(1、0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110、1010)余3循环BCD码=(1、1110)2 1、9用下列代码表示(123)10,(1011、01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011、01)2=(11、25)10=(0001 0001、0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011、01)2=(11、25)10=(0100 0100、0101 1000)余3BCD 1、10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2 (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。解:(1)A+B=(10001001)2=(137)10 A-B=(101011)2=(43)10 C×D=(111111000)2=(504)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。 1、11试用8421BCD码完成下列十进制数的运算。 解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

相关主题
文本预览
相关文档 最新文档