当前位置:文档之家› 数字电子专业技术课程设计参考选题2017。12

数字电子专业技术课程设计参考选题2017。12

数字电子专业技术课程设计参考选题2017。12
数字电子专业技术课程设计参考选题2017。12

数字电子技术课程设计参考选题

一、十二小时电子钟

〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。

〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案

2)增加日期显示

3)实现倒计时功能

4)整点报时(非语音报时)

5)定时功能

〖参考原理框图〗:

二、便携式快速心律计

基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED显示。

〖提高要求〗1)提高测量精度的方法

2)设计能比较准确测量1S内心跳的电路

〖参考原理框图〗

〖主要参考元器件〗CD4060,4528,4518;4511,14526

三、数字式定时开关

〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED数码管显示。此开关预置时间以后通过另一按钮控制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。

〖提高要求〗l)输出部分加远距离(100m)继电器进行控制

2)延长定时时间

3)探讨提高定时精度的方法

〖参考原理框图〗

〖主要参考元器〗:CC4511,CC14522,CD4060

四、数字式电容测试仪

〖基本要求〗1)设计一个能测量电容容量在100pF~100uF之间的测试仪

2)用3位数码管显示

3)多测量量程

〖提高要求〗1)超量程判断及显示

2)击穿电容测试保护

〖参考原理框图〗

CC40106,LM324,CC4518,CC4049,74LS14,74LS74

五、八路抢答器

〖基本要求〗利用数字电路设计一八路抢答器,要求:

1) 允许八路参加,并具有锁定功能,用LED实现最先抢答的队号码,系统设置外部清除键,按动清除键,LED显示器自动清零灭灯。

2)数字显示功能:数字抢答器定时为30S,启动开启键以后要求Ⅰ)定时开始;Ⅱ)扬声器要短暂报警;Ⅲ)发光二极管亮灯;如果在30S内抢答有效,计时结束,30S内抢答无效,系统短暂报警,发光二极管灯灭

〖提高要求〗1)按钮到控制中心距离为20M

〖主要参考元器〗74LS148

,74LS48,74LS279

六、篮球竞赛30S 计时器

〖基本要求〗

1)具有显示30S 计时功能

2)设置外部操作开关,控制计数器的直接清零,启动和暂停/连续功能 3)在直接清零时,要求数码显示器灭灯 4)计时器为30S 递减计时,计时间隔为1S

5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号 〖主要参考元器〗: NE555(1),74ls161(1),74LSl92(2)

七、交通灯控制器

〖基本要求〗:用数字电路实现下面功能

要求:1)增加自动夜间开关功能,黄灯亮(使用光敏三极管)

2)增加手动功能,方便盲人通过

〖提高要求〗

1)要求显示剩余时间 2)增加拐弯时序 〖参考原理框图〗 〖主要参考元器件〗:74LS74,74LS00,74LS168,74LS248,74LS164,74LS08

八、数字频率计

〖基本要求〗采用基本数字集成电路设计制作——简易数字频率计,要求测量频率范围为0~9999Hz测量幅值范围为lV~10V,测量分辨率为10Hz,并使用LED数码管显示。

〖提高要求〗1)讨论测量误差的形成原因并提出改进方案

2)提高测频范围的方案

3)输入保护

4)输入信号为正弦波、三角波、方波的情况

5)与集成信号发生器的合成

〖主要参考元器件〗74LS390,74LS247,CD4060,74LS00,74LS74

九、展览广告逐级显示电路

〖基本要求〗用于切换画面,自动轮换,时间可以设定为2秒~5分钟可调,需要切换的画面为10路,使用继电器驱动(9路使用LED)

〖提高要求〗加入红外外遥控方式,每按一次键翻转一次

系统主要由振荡器组成的触发电路、计数电路,延时启动电路、继电器驱动电路组成。

〖主要参考元器件〗CX20106,CD4096,CD4017,555,74LS04

十、带报警器的密码电子锁和门铃电路

〖基本要求〗1)按钮分别为1,2...9个按钮

2)用发光二极管作为输出指示灯

3)设计门铃电路,按动门铃按钮,发生500Hz的频率信号,并可使编码电路清零,同时可解除报警。

〖提高要求〗1)将指示灯换成继电器进行控制。

2)密码顺序不对或密码有误时系统主动复位,当开锁时间超过5Min时,则蜂鸣器发出1KHz的信号报警。

〖参考原理框图〗

〖主要参考元器〗:CC4017,NE555,9013,8050,IN4148

十一、多路数据采集系统

〖基本要求〗1)实现4路温度信号的采集

2)温度范围为0~20℃,采用PT-100电阻

3)采用31/2AD转换器;LED数码管显示

〖提高要求〗1)系统的输入为差动输入

2)讨论非线性校正约方法

3)讨论提高测试精度的方法

4)讨论多路开关对测试的影响

〖参考原理框图〗

〖主要参考元器件〗 CD4051,LM324,ICL7107

十二、四花样彩灯控制器

〖基本要求〗设计一四花样自动切换的彩灯控制器,要求实现 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动

(4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 〖主要参考元器〗

555,74LS93,74LS74,74LS153,74LS164

十三、新型数字温度计温度计

〖基本要求〗 1)利用555实现温度/脉宽转换 2)测量范围为0-50摄氏度;精度为0.1度 3)采用AD590温度传感器 4)数字化显示

〖提高要求〗 提高测量精度的方法

温度计由数字式温度传感器、单稳定时电路、计数电路、译码驱动组成 〖主要参考元器件〗:;LM741,555,MC14553

十四、数字记步器

〖基本要求〗采用4位数字显示步数,传感器采用水银开关,主人走一步的时候,开关闭合一次

〖提高要求〗

本系统的原理和计数器相同、但是要注意开关的抖动以及信号的整形问题。 〖主要参考元器件〗74LS390,74LS247,74LS08

十五、自动出售邮票机电路的设计

设计参数:

设计一个自动售邮票机的逻辑电路。每次只允许投入一枚五角或一元的硬币,累计投入一元五角硬币给出一张邮票,如果投入二元硬币,则给出邮票的同时还应找回五角钱。要求用D 触发器和门电路实现,完成状态转换图、卡诺图化简、三个方程(驱动、输出、状态)、逻辑电路图。 设计要求:

1 .分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。

2 .确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。

3 .设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。

4.组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。

十六、数字式脉宽测量电路

设计任务:

1.分辨率10纳秒,最大测量宽度99.99毫秒

2.LED数字显示

设计要求:

1 .分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。

2 .确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。

3 .设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。

4.组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。

十七、出租汽车里程计价表

设计参数:

1.不同情况具有不同的收费标准。白天、晚上、途中等待(>10min 开始收费)

2.能进行手动修改单价

3.具有数据的复位功能

4.白天/晚上收费标准的转换开关,数据的清零开关,单价的调整,单价输出2位,路程

输出2位,总金额输出3位

5.按键:启动计时开关,数据复位(清零)。白天/晚上转换

设计要求:

1 .分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。

2 .确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。

3 .设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。

4.组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。

十八、

设计任务:

(1)计算器具有加,减和乘的功能;

(2)用开关输入运算数据;

(3)用LED显示运算结果设计要求:

设计要求:

1 .分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案简易计算器,绘制结构框图。

2 .确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。

3 .设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。

4.组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。

十九、交通灯控制器

一、设计要求

1、十字路口有主、次道之分,用两组6位发光二极管表示两套红、绿、黄灯。当一路

为红灯时另一路为绿灯;红灯变绿灯前,另一路应为绿灯变黄灯。

2、工作方式有两种:一种是主道绿灯16秒,黄灯3秒,红灯7秒。第二种方式为主

道绿灯常亮,只有当次道有车时(用一位开关来模拟此信号),次道才由红灯变为

绿灯。用一位开关来转换两种工作方式。

二、电路原理框图

电路原理框图如图所示。

交通灯电路原理框图

三、交通灯简介

主、次道灯可用6位发光二极管代替,逻辑控制器的功能是控制各种信号灯的亮、灭,设计方法是先设计一个计数器,它的输出状态与交通灯的一个工作循环的时间相同,再利用译码电路将各种灯的工作状态译出,通过显示电路显示即可。设计中要注意红灯的时间等于另一路绿灯加黄灯的时间。

四、功能扩展

用红外发射接收管替代工作方式转换开关。(自行参阅资料,画出电路图)

五、参考元器件

74hc161,160,194等。

74hc00

74hc02

74hc08

74hc32

二十、乒乓球游戏机

设计参数:

1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍;

2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各自加1分;

3.甲、乙各有一数码管计分;

4.裁判有一个按钮,是系统初始化,每次得分后,按下一次。

设计要求:

1 .分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。

2 .确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。

3 .设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。

4.组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。

二十一、智力竞赛抢答器

设计参数:

1.五人参赛每人一个按钮,主持人一个按钮,按下就开始;

2.每人一个发光二极管,抢中者灯亮;

3.有人抢答时,喇叭响两秒钟;

4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。

设计要求:

1 .分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。

2 .确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。

3 .设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。

4.组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。

二十二、双钮电子锁

设计任务:

1.有两个按钮A和B,开锁密码可自设,如(3、5、7、9);

2.若按B钮,则门铃响;(滴、嗒…);

3.开锁过程:按3下A,按一下B,则3579中的“3”即被输入;接着按5下A,按一下B,则输入“5”;依此类推,直到输入完“9”,按B,则锁被打开——用发光管KS表示;4.报警:在输入3、5、6、9过程后,如果输入与密码不同,则报警;用发光管BJ表示,同时发出“嘟、嘟……的报警声音;

5.用一个开关表示关门(即闭锁)。

设计要求:

1 .分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。

2 .确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。

3 .设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。

4.组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。

电子技术课程设计题目

电子技术课程设计一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。 2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: 基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理 电路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。 为了保证单元电路达到设计要求,必须对某些单元电路进行参数计算和电子元件 选择,比如:放大电路中各个电阻值、放大倍数计算;振荡电路中的电阻、电容、振荡频率、振荡幅值的计算;单稳态触发器中的电阻、电容、输出脉冲宽度的计 算等;单元电路中电子元件的工作电压、电流等容量选择。

电力电子技术课程设计范例

电力电子技术课程设计 题目:直流降压斩波电路的设计 专业:电气自动化 班级:14电气 姓名:周方舟 学号: 指导教师:喻丽丽

目录 一设计要求与方案 (4) 二设计原理分析 (4) 2.1总体结构分分析 (4) 2.2直流电源设计 (5) 2.3主电路工作原理 (6) 2.4触发电路设计 (10) 2.5过压过流保护原理与设计 (15) 三仿真分析与调试 (17) 3.1M a t l a b仿真图 (17) 3.2仿真结果 (18) 3.3仿真实验结论 (24) 元器件列表 (24) 设计心得 (25) 参考文献 (25) 致 (26) 一.设计要求与方案 供电方案有两种选择。一,线性直流电源。线性电源(Linear power supply)是先将交流电经过变压器降低电压幅值,再经过整流电路整流后,得到脉冲直流电,后经滤波得到带有微小波纹电压的直流电压。要达到高精度的直流电压,必须经过稳压电源进行稳压。线性电源体积重量大,很难实现小型化、损耗大、效率低、输出与输入之间有公共端,不易实现隔离,只能降压,不能升压。二,升压斩波电路。由脉宽调制芯片TL494为控制器构成BOOST原理的,实现升压型DC-DC变换器,输出电压的可调整与稳压控制的开关源是借助晶体管的开/关实现的。因此选择方案二。 设计要求:设计要求是输出电压Uo=220V可调的DC/DC变换器,这里为升压斩波电路。由于这些电路中都需要直流电源,所以这部分由以前所学模拟电路知识可以由整流器解决。MOSFET的通断用PWM控制,用PWM方式来控制MOSFET的通断需要使用脉宽调制器TL494来产生

数字电路课程设计——多功能数字钟

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多功能数字钟的设计仿真与制作 初始条件: 利用集成译码器、计数器、定时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。(也可以使用单片机系统设计实现) 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。 2、技术要求: 错误!未找到引用源。设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 错误!未找到引用源。具有60进制和24进制(或12进制)计数功能,秒、分为60进制 计数,时为24进制(或12进制)计数。 ③有译码、七段数码显示功能,能显示时、分、秒计时的结果。 ④设计提供连续触发脉冲的脉冲信号发生器, ⑤具有校时单元、闹钟单元和整点报时单元。 ⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2009 年6 月20~22 日,查阅相关资料,学习设计原理。 2、2009 年6 月23~24 日,方案选择和电路设计仿真。 3、2009 年6 月25~27 日,电路调试和设计说明书撰写。 4、2009 年6 月28 日上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.绪论 (3) 2.Proteus软件介绍 (4) 3.总体方案的设计与实现 (6) 3.1 数字钟的原理框图 (6) 3.2 各模块功能分析 (6) 3.2.1晶体振荡器 (6) 3.2.2分频器 (7) 3.2.3时间计数单元 (8) 3.2.4译码驱动及显示单元 (10) 3.2.5校时电源电路 (10) 3.2.6整点报时电路 (11) 4.数字钟的安装与调试 (12) 5.数字钟的工作状态分析 (13) 5.1数字钟的工作过程及结果分析 (13) 5.2数字钟工作过程中出现的问题及解决方法 (13) 6.元件清单 (15) 7.数字钟仿真图 (16) 8.心得体会 (17) 9.参考文献 (18) 10.课程设计成绩评定表 (19)

华工网络数字电子技术作业

第1章作业 1.1为了将600份文件顺序编码,如果采用二进制代码,最少需要用几位?如果改用八进制或十六进制代码,则最少各需要用几位? 答:如用二进制最少需10位,用八进制最少需4位,用十六进制最少需3位 1.4将下列二进制数转换为等值的十进制数。 (1)(101.011)2 ;(3)(1111.1111)2。 解(1)(101.011)2 =5.375 (3)(1111.1111)2=15.9375 1.5将下列二进制数转换为等值的八进制数和十六进制数。 (2)(1001.1101)2;(4)(101100.110011)2。 解:(2)(1001.1101)2=(11.64)8=(9.D)16(4)(101100.110011)2=(54.63)8=(https://www.doczj.com/doc/8e3274985.html,)16 1.6将下列十六进制数转换为等值的二进制数。 (1)(8.C)16;(3)(8F.FF)16。 解:(8.C)16=(1000.1100)2 (8F.FF)16=(10001111.11111111)2 1.9将下列十进制数转换为等值的二进制数和十六进制数。要求二进制数保留小数点以后4位有效数字。 (2)(188.875)10;(4)(174.06)10。 解(2):

1.14用二进制补码运算计算下列各式。式中的4位二进制数是不带符号位的绝对值。如果和为负数,请求出负数的绝对值。(提示:所用补码的有效位数应足够表示代数和的最大绝对值。) (2)1101+1011;(4)1101-1011;(6)1011-1101;(8)-1101-1011。 解:

第2章作业 2.4已知逻辑函数的真值表如表P2.4(a)、(b)所示,试写出对应的逻辑函数式。 表P2.4(a)表P2.4(b)

电子技术课程设计

电子技术课程设计PWM调制解调器 班级:电信1301 姓名:曹剑钰 学号:3130503028

一、设计任务与要求 1.要求 设计一款PWM(脉冲宽度调制)电路,利用一可调直流电压调制矩形波脉冲宽度(占空比)。 信号频率10kHz; 占空比调制范围10%~90%; 设计一款PWM解调电路,利用50Hz低频正弦信号接入调制电路,调制信号输入解调电路,输入与原始信号等比例正弦波。 2.提高要求: 设计一50Hz正弦波振荡电路进行PWM调制。 3.限制: 不得使用理想运放、二极管、三极管、场效应管; 基本要求的输入电压使用固定恒压源接自行设计的电路实现可调; 同步方波不得利用信号发生器等软件提供设备产生。 二、总体方案设计 1.脉宽调制方案: 方案一:三角波脉宽调制,三角波电路波形可以由积分电路实现,把方波电压作为积分电路的输入电压,经过积分电路之后就形成三角波,再通过电压比较器与可调直流电压进行比较,通过调节直流电源来调制脉宽。 方案二:锯齿波脉宽调制,锯齿波采用定时器NE555接成无稳态多谐振荡器,和方案一相似,利用直流电压源比较大小调节方波脉宽。 方案三:利用PC机接口控制脉宽调制的PWM电路。 比较:方案一结构简单,思路清晰,容易实现,元器件常用 方案二与方案一相似,缺点是调整脉冲宽度不如方案一 方案三元器件先进,思路不如方案一清晰简单,最好先择了方案一 2.正弦波产生方案: 方案一:RC正弦波振荡电路。 RC正弦波振荡电路一般用来产生1Hz--10MHz范围内的低频信号,由RC 串并联网络组成,也称为文氏桥振荡电路,串并联在此作为选频和反馈网络。电路的振荡频率为f=1/2πRC,为了产生振荡,要求电路满足自激震荡条件,振荡器在某一频率振荡的条件为:AF=1.该电路主要用来产生低频信号。

电子技术课设计三个题目说明及电路图

五电压超限指示和报警电路的设计 一、目的 通过电压超限指示和报警电路的设计与实验,熟悉窗口比较器和555电路的应用。 二、要求 设计一个电压过限指示和报警电路。 1. 电压上限为U H=5.5V,下限为U L=4.5V,当4.5V<u I<5.5V时,为正常范围。否则u I>5.5V或u I<4.5V都为不正常,此时发出报警信号。 2. 电压u I在正常范围内。绿灯亮,不发出报警声响。 3. 电压u I低于下限时,黄灯亮,同时连续发出报警声响。 4. 电压u I高于上限时,红灯闪烁,同时发出断续的报警声响。 三、设计方案提示 根据题目要求,若需要鉴别一个电压是否属于正常或不正常范围,可以利用窗口比较器。窗口比较器的传输特性如图5-1所示。当U H>u I>U L时,输出为低电平,而当u I >U H或u I<U L时输出为高电平,利用这一特性,就可以鉴别电压是否处于正常范围。如果是其他物理量(如温度)也可以通过传感器将其转换为电压量来实现报警。 图5-1 窗口比较器的传输特性图5-2 电压超限指示和报警电路框图 声音报警可利用555定时器构成的多谐振荡器来实现,断续声音可以由一个频率较低的振荡器去控制一个频率较高的振荡器来实现。图5-2为这种电路的框图。图5-3是一个电压超限指示和报警电路的参考电路。 四、参考电路简要说明 1. 窗口比较器 在参考电路中窗口比较器由两个运算放大器、两个二极管和电阻组成,LM324内包含四个运算放大器,使用其中的两个运算放大器组成窗口比较器。 (1)当U L<u I<U H时,处于正常状态,A、B两点均为低电平,二极管不导通,再经或非门输出,绿色指示灯亮。此时异或门输出端C点为低电平,此电压送到第一片555 R(4脚),555振荡器停振,不发出报警信号。 定时器的异步置零端D

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

电子技术课程设计的基本方法和步骤模板

电子技术课程设计的基本方法和步骤

电子技术课程设计的基本方法和步骤 一、明确电子系统的设计任务 对系统的设计任务进行具体分析, 充分了解系统的性能、指标及要求, 明确系统应完成的任务。 二、总体方案的设计与选择 1、查阅文献, 根据掌握的资料和已有条件, 完成方案原理的构想; 2、提出多种原理方案 3、原理方案的比较、选择与确定 4、将系统任务的分解成若干个单元电路, 并画出整机原理框图, 完成系统的功能设计。 三、单元电路的设计、参数计算与器件选择 1、单元电路设计 每个单元电路设计前都需明确本单元电路的任务, 详细拟订出单元电路的性能指标, 与前后级之间的关系, 分析电路的组成形式。具体设计时, 能够模拟成熟的先进电路, 也能够进行创新和改进, 但都必须保证性能要求。而且, 不但单元电路本身要求设计合理, 各单元电路间也要相互配合, 注意各部分的输入信号、输出信号和控制信号的关系。 2、参数计算 为保证单元电路达到功能指标要求, 就需要用电子技术知识对参数进行计算, 例如放大电路中各电阻值、放大倍数、振荡器中电阻、电容、振荡频率等参数。只有很好地理解电路的工作原理, 正确利用计算公式, 计算的参数才能满足设计要求。 参数计算时, 同一个电路可能有几组数据, 注意选择一组能完成

电路设计功能、在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流、电压、频率和功耗等参数应能满足电路指标的要求。 (2)元器件的极限必须留有足够的裕量, 一般应大于额定值的 1.5倍。 (3)电阻和电容的参数应选计算值附近的标称值。 3、器件选择 ( 1) 阻容元件的选择 电阻和电容种类很多, 正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同, 有些电路对电容的漏电要求很严, 还有些电路对电阻、电容的性能和容量要求很高, 例如滤波电路中常见大容量( 100~3000uF) 铝电解电容, 为滤掉高频一般还需并联小容量( 0.01~0.1uF) 瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件, 并要注意功耗、容量、频率和耐压范围是否满足要求。 ( 2) 分立元件的选择 分立元件包括二极管、晶体三极管、场效应管、光电二极管、晶闸管等。根据其用途分别进行选择。选择的器件类型不同, 注意事项也不同。 ( 3) 集成电路的选择 由于集成电路能够实现很多单元电路甚至整机电路的功能, 因此选用集成电路设计单元电路和总体电路既方便又灵活, 它不但使系统体积缩小, 而且性能可靠, 便于调试及运用, 在设计电路时颇受欢迎。选用的集成电路不但要在功能和特性上实现设计方案, 而且要满足功耗、电压、速度、价格等方面要求。 4、注意单元电路之间的级联设计, 单元电路之间电气性能的 相互匹配问题, 信号的耦合方式

电子技术课程设计总结报告

电子技术课程设计总 结报告

摘要 (3) 第一章设计指标 (4) 1.1设计题目 (4) 1.2设计任务和要求 (4) 1.3设计原理 (4) 第二章系统方案 (5) 2.1 系统模块及框图 (5) 2.2 单元电路设计 (6) 2.2.1 秒基准信号发生器 (6) 2.2.2 计数器 (7) 2.2.3 数码显示 (8) 2.2.3 校时切换电路 (8) 2.2.3 校时切换电路 (9) 2.2.4 整体电路图 (9) 2.2.5 部分芯片实际引脚图及功能 (11) 2.3 multisim 仿真 (12) 第三章方案总结 (12) 3.1 元件清单 (12) 3.2电路及方案的特点 (12) 3.3 心得体会 (13) 参考文献: (13)

摘要 时钟是生活中必不可少的工具,实际生活中,时钟小巧精致甚至很多是作为另一个工具的附加物(如手机、收音机等)。但实际上时钟的原型——脉冲源是时序逻辑电路完成其逻辑功能的基础。如果电源是数字电路的发动机的话,那么时钟源就是它的轮胎使它能向前运行,所以几乎所有电子产品都离不开时钟源。本设计目的不在制作生活用的电子时钟,而是希望通过对电子钟的分模块设计,加深对震荡电路、波形转换、分频器、计数器、数据选择器、译码器、数码管等的理解,加强对实际集成器件的应用,锻炼电路焊接技术和检查排错能力。 本设计通过32768Hz晶体和14位二进制分频器4060产生2Hz的脉冲信号,再通过JK 触发器4027组成的二分频器产生1Hz秒脉冲,比基于555定时器的时钟源精确和稳定。显示部分采用CD4511驱动共阴极7段数码管。校时部分采用四二选一数据选择器74157芯片选择正常走时或手动校时。 设计过程中先使用multisim11.0进行仿真设计,后又进行实际焊接。

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

2017年电子技术课程设计题

信息学院 2017年电子技术课程设计题 1 音频小信号功率放大电路设计(A) 设计并制作音频小信号功率放大电路。具体要求如下: (1)放大倍数A V≥1000;(20分) (2)通频带100Hz~10KHz;(20分) (3)放大电路的输入电阻R I≥1MΩ; (5分) (4)在负载电阻为8Ω的情况下,输出功率≥2W;(30分) (5)功率放大电路效率大于50%;(5分) (6)输出信号无明显失真。(20分) 说明:设计方案和器件根据题目要求自行选择,但要求在通用器件范围内。不能选用集成音频功放。 测试条件:技术指标在输入正弦波信号峰值Vp=10mV的条件进行测试(输入电阻通过设计方案预以保证),设计报告中应有含有详细的测试数据说明设计结果。 评分标准: (1)提供1000倍的电压增益,得满分;电压增益小于800倍,扣5分;电压增益小于500倍,不得分; (2)上限频率大于10kHz,得10分;上限频率5~10kHz,得5分;上限频率<5kHz,不得分;下限频率满足要求,得10分;下限频率100~500Hz,扣5分,下限频率>500Hz,不得分;(3)输出功率≥2W,得满分;1W≤输出功率≤2W,得20分;500mW≤输出功率≤1W, 得10分; 输出功率≤500mw,不得分。 (4) 设计效率大于50%,得满分,小于50%不得分。 (5) 输出信号无明显失真, 得满分,否则不得分。 参考元器件: NE5532/TL082, LM324/TL084,,S8050/S8550,2N3904/2N3906,1N4148/1N4001~7,TIP41/42中功率三极管或2N3055/MJ2955大功率三极管等。 主要测试设备:直流电源,信号源,示波器和8Ω功率电阻。 2 数控直流电源的设计(B) 设计一线性输出电压可调的直流电源。电源有电压增(UP)和电压减(DOWN)两个键,按UP键时电压步进增加,按DOWN键时电压步进减小。具体要求如下:(1)输出电压5~12V,步进为1V;(40分) (2)输出电压误差最大±0.1V;(40分) (3)输出电流不小于1A;(5分) 测试条件:分别测试输出为5V、6V、7V、。。。、12V的输出电压。输出电流通过设计预以保证。 评分标准:[注:满分为95分] (1)输出电压5~12V,步进1V,得满分,否则不得分; (2) 输出电压误差≤±0.1V,得满分;±0.1V≤输出电压误差≤±0.2V,扣10分;±0.2V≤输出电压误差≤±0.3V,扣20分;输出电压误差≥±0.5V,不得分。 发挥部分:用LED或数码管显示电压设定值; 参考元器件:74LS193,74HC138,三极管S8050/S8550/CD406/CD4051/AD7501/AD7503,LM317,CD4511等。 3 数控直流稳压电源设计(A)

华工网络数字电子技术作业

第1章作业 为了将600份文件顺序编码,如果采用二进制代码,最少需要用几位?如果改用八进制或十六进制代码,则最少各需要用几位? 答:如用二进制最少需10位,用八进制最少需4位,用十六进制最少需3位 将下列二进制数转换为等值的十进制数。 (1)()2 ;(3)()2。 解(1)()2 = (3)()2 = 将下列二进制数转换为等值的八进制数和十六进制数。 (2)()2;(4)()2。 解:(2)()2=8=16 (4)()2=8=16 将下列十六进制数转换为等值的二进制数。 (1)()16;(3)()16。 解:()16=()2 ()16=(.)2 将下列十进制数转换为等值的二进制数和十六进制数。要求二进制数保留小数点以后4位有效数字。 (2)()10;(4)()10。 解(2): 用二进制补码运算计算下列各式。式中的4位二进制数是不带符号位的绝对值。如果和为负数,请求出负数的绝对值。(提示:所用补码的有效位数应足够表示代数和的最大绝对值。) (2)1101+1011;(4)1101-1011;(6)1011-1101;(8)-1101-1011。 解: 第2章作业 已知逻辑函数的真值表如表(a )、(b )所示,试写出对应的逻辑函数式。 表(a ) 表(b ) 写出图(a )、(b )所示电路的输出逻辑函数式。 图 已知逻辑函数Y 的波形图如图所示,试求Y 的真值表和逻辑函数式。 图 将下列各函数式化为最小项之和的形式。 (1)C B AC BC A Y '++'= (3)CD B A Y ++= (5)L N N M M L Y '+'+'= 解: 将下列逻辑函数式化为与非–与非形式,并画出全部由与非逻辑单元组成的逻辑电路图。 (2)()()()' +'++'=BC C B A B A Y (4)()()' ??? ? ?+''+''+'=BC B A B A BC A Y 解: 电路图如下: 电路图如下: 将下列逻辑函数式化为或非–或非形式,并画出全部由或非逻辑单元组成的逻辑电路图。

电子技术课程设计

电子技术 课程设计 成绩评定表 设计课题:串联型连续可调直流稳压正电源电路学院名称: 专业班级: 学生姓名: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:串联型连续可调直流稳压正电源电路专业班级: 学生姓名: 学号: 指导教师: 课程设计地点:31-225 课程设计时间:2014-7-7~2014-7-14

电子技术课程设计任务书

目录 前言 (5) 1串联型连续可调直流稳压正电源 (5)

1.1 设计方案 (5) 1.2 设计所需要元件 (7) 2 设计原理 (8) 2.1 电源变压部分 (9) 2.2 桥式整流电路部分 (10) 2.3 电容滤波电路部分 (11) 2.4 直流稳压电路部分 (12) 2.5 原理及计算 (14) 3 电路仿真 (15) 4 电路连接测试 (16) 4.1使用仪器 (16) 4.2.测试结果 (16) 5 设计体会 (17) 参考文献 (19) 串联型连续可调直流稳压正电源电路 引言 随着社会的发展,科学技术的不断进步,对电子产品的性能要求也更高。我们做为21世纪的一名学电子的大学生,不仅要将理论知识学

会,更应该将其应用与我们的日常生活中去,使理论与实践很好的结合起来。电子课程设计是电子技术学习中的一个非常重要的实践环节,能够真正体现我们是否完全吸收了所学的知识。 目前,各种直流电源产品充斥着市场,电源技术已经比较成熟。然而,基于成本的考虑,对于电源性能要求不是很高的场合,可采用带有过流保护的集成稳压电路,同样能满足产品的要求。 本次设计的题目为设计一串联型可调直流稳压正电源:先是经过家用交流电源流过变压器得到一个大约十五伏的电压U1,然后U1经过一个桥堆进行整流在桥堆的输出端加两个电容C1、C2进行滤波,滤波后再通过LM7812(具体参数参照手册)输出一个固定的12V电压,这样就可以在一路输出固定的电压。在LM7812的输出端加一个电阻R3,调整端加一个固定电阻R1和一电位器R2,这样输出的电压就可以在5~12V范围内可调。 经过自己对试验原理的全面贯彻,以及相关技术的掌握,和反复的调试,经过自己的不断的努力,老师的耐心的指导,终于把这个串联型输出直流稳压输出正电源电路设计出来了。 1串联型连续可调直流稳压正电源 1.1 设计方案 本电路由四部分组成:变压电路、整流电路、滤波电路、稳压电路。 (1)变压电路:本电路使用的降压电路是单相交流变压器,选用电压和功率依照后级电路的设计需求而定。 (2)整流电路:整流电路的主要作用是把经过变压器降压后的交流电通过整流变成单个方向的直流电。但是这种直流电的幅值变化很大。它主要是通过二极管的截止和导通来实现的。常见的整流电路主要有半波整流电路、桥式整流电路等。我们选取桥式整流电路实现设计中的整流功能。 (3)半波整流:

电子技术课程设计题

电子技术课程设计题 1 音频小信号功率放大电路设计 设计并制作音频小信号功率放大电路。具体要求如下: (1)放大倍数A V≥1000; (2)通频带100Hz~10KHz; (3)放大电路的输入电阻R I≥1MΩ; (4)在负载电阻为8Ω的情况下,输出功率≥2W; (5)功率放大电路效率大于50%; (6)输出信号无明显失真。 说明:设计方案和器件根据题目要求自行选择,但要求在通用器件范围内。不能选用集成音频功放。 测试条件:技术指标在输入正弦波信号峰值Vp=10mV的条件进行测试(输入电阻通过设计方案预以保证),设计报告中应有含有详细的测试数据说明设计结果。 参考元器件:NE5532、TL082或TL084,3DG6/3DG21,3AX83/3BX83,1N4148/1N4001,TIP41/42中功率管或2N3055大功率管等。 主要测试设备:直流电源,信号源,示波器和8Ω功率电阻。 2 数控直流电源的设计 设计一线性输出电压可调的直流电源。电源有电压增(UP)和电压减(DOWN)两个键,按UP时电压步进增加,按DOWN时电压步进减小。具体要求如下:(1)输出电压5~12V,步进为1V; (2)输出电压误差最大±0.1V; (3)输出电流不小于1A; 测试条件:分别测试输出为5V、6V、7V、。。。、12V的输出电压。输出电流通过设计预以保证。 发挥部分:用LED或数码管显示电压设定值; 参考元器件:74LS192,74HC138,三极管S8050/S8550,LM317,CD4511等。 3 数控直流稳压电源设计 设计一个数控直流稳压电源。具体要求如下: (1)输出电压:0~9.9V步进可调,调整步距0.1V; (2)输出电压值用LED数码管显示; (3)电压调整:由“+”、“-”两键分别控制输出电压的步进增减; 提示:(1)用可逆计数器和D/A实现电压预置和电压步进控制; (2)用线性电源实现可控电源; 发挥部分:输出电压可在0~9.9V范围任意预置。 参考元器件:74HC190,DAC0832,三极管S8050/8550,3DD15等。 4 DDS信号源的设计(A) 设计一个简单的DDS正弦波信号发生器,有频率增(UP)和频率减(DOWN)两个键,按UP时频率步进增加,按DOWN时频率频率步进减小。具体要求如下:(1)输出信号的频率范围为10Hz~1000Hz,步进为10Hz。 (2)要求输出信号无明显失真。

数字电子技术课程设计说明书

数字电子技术 课程设计说明书 题 目:生产线自动装箱计数监控器 逻辑电路设计与实验 学生姓名:潘垒坚 学 院:电力学院 班 级:自动化13-1 指导教师:王艳荣 2015年7月 日 学校代码:10128 学 号: 201311204018

摘要 自动化系统不仅已成为了工业和社会生活的一个组成部分,而且是经济发展平的重要标志。工业生产中常常需要自动统计产品的数量并实现对生产线的整体控制,本次设计的生产线自动装箱计数监控器采用光电传感器与计数器相结合的方法实现对物件的数目统计。设计中采用光电传感器将光信号转化为电信号产生正弦脉冲,通过放大滤波整形电路将信号整形为矩形脉冲。将该脉冲通过“罐、箱”计数器实现对罐和箱的计数,最终在数码显示管上显示所计数目。设计还可以通过一系列控制电路实现在箱子未满时持续加入饮料罐,在箱子已满时设备停止工作直到下一空箱到来后设备再次启动并重新加入饮料罐,同时整体电路也可以手动启动、停止和清零控制。本设计经Multisim和实测验证均可达到预期要求。 关键词:光电传感器;自动统计;计数监控器;滤波整形;控制电路

目录 一、设计任务概述...................................... 错误!未定义书签。 1.1设计题目 (1) 1.2设计目的 (2) 1.3设计内容和要求 (2) 1.3.1设计内容 (2) 1.3.2设计要求 (2) 二、设计方案论证及方框图 (2) 2.1题目要求简析 (2) 2.2方案设计与论证 (2) 2.2.1方案一 (2) 2.2.2方案二 (2) 2.2.3方案选择 (2) 2.3电路分块 (3) 2.4电路结构方框图 (3) 三、电路组成及工作原理 (4) 3.1信息采集电路...................................... 错误!未定义书签。 3.1.1信号产生 (4) 3.1.2信号放大 (4) 3.1.3信号整形 (5) 3.2计数显示电路 (5) 3.2.1罐计数显示电路 (6) 3.2.2箱计数显示电路 (6) 3.2.3计数显示总电路 (7) 3.3状态控制电路 (7) 3.4总电路原理图 (9) 四、电路元器件选择与实际测试 (9)

数字电子技术作业(第5周)

数字电子技术作业(第5周) 一、请选择正确答案,将其代号填入题末( )内; 1、仅用译码器(例如3线-8线译码器,4线-16线译码器)无法完成的逻辑功能为: A .算术运算; B .码组变换; C .数据分配; D .产生逻辑函数。 ( ) 2、欲组建6线-64线译码器,则需用图示3线-8线译码器: A .2片; B .6片; C .9片; D .12片。 ( ) 3、一个N 选1 MUX 的输入地址码的位数是: A .N ; B .2N ; C .log2N ; D .ln2N 。 ( ) 4、仅用数据选择器(例如8选1 MUX 、4选1 MUX )无法实现的逻辑功能是: A .数据延迟; B .数据并/串变换; C .数据选择; D .产生逻辑函数。 ( ) 5、若用4选1原码输出MUX ,实现函数F = P + Q 时,其中P 为地址高位,Q 为低位, 则输入数据D 0D 1D 2D 3应为: A .1101; B .1001; C .0110; D .1011。 ( ) 二、解答题 6、试用图示3线-8线译码器74LS138和门电路产生如下多输出逻辑函数,并画出必要的连线图。 Y AC Y ABC ABC BC Y BC ABC 123,,. ==++=+????? &EN 1 2 4 BIN/OCT οο01234567οοοο ο ο ο ο 7、试用4选1 MUX 和门电路实现函数 F (A ,B ,C ,D ) = ∑m (0,2,3,5,6,7,8,9) + ∑d (10,11,12,13,14,15)。 8、试仅用三片4 选1的数据选择器实现4变量逻辑函数。 F (A ,B ,C ,D )=∑m (1,5,6,7,9,11,12,13,14).

电子技术课程设计

摘要 本次课程设计彩灯控制器是对模拟电子技术、数字电子技术的实践性的应用。该彩灯设计主要由几个器件构成,分别是移位寄存器、计数脉冲、分频器、数据选择器等器件。通过着几个主要器件来实现对彩灯的设计和控制。彩灯的设计主要有三部分组成。即时钟脉冲产生电路模块、彩灯开关控制模块以及花样输出电路模块。其中时钟脉冲由555定时器构成的多谐振荡器产生。彩灯开关电路设计模块应用数据选择器74LS163。花样输出由移位寄存器74LS194和发光二极管组成。为了验证设计的准确性,我们在Proteus环境下进行仿真和调试。通过验证进一步确定其设计的可行性。 关键词:彩灯;时钟脉冲产生电路模块;彩灯开关控制;花样输出电路

目录 摘要.............................................................................................................I 1 前言 (1) 1.1 序言 (1) 1.2目前彩灯的应用情 (1) 1.3主要工作概述 (1) 2 总体方案设计 (3) 2.1方案比较 (3) 2.2方案论证 (4) 2.3方案选择 (4) 3 单元电路设计 (5) 3.1时钟信号发生器 (5) 3.2 序列信号发生 (7) 3.3 移位输出显示电路 (11) 4 调试与试验 (14) 4.1 Proteus软件介绍 (14) 5 proteus仿真图 (15) 6致谢和心得体会 (16) 参考文献 (17)

1前言 1.1 序言 集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用规模集成电路,不仅可以减少电路组件的数目,使电路简洁,而且能提高电路的可靠性,降低成本。因此,用集成电路来实现更多更复杂的器件功能则成为必然。随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观,小型的彩灯多采用霓虹灯电路。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,也可以做成各种各样和多种色彩的灯管或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,常采用长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。本文所要设计的彩灯是用八个发光二极管代替的,能通过外部开关的操作,来实现彩灯亮点的左移、右移、全亮、全灭的效果。因此其会在越来越多的场合中使用,这使本设计具有很大的现实意义。这种控制电路可靠性,灵活性高,使用范围广,特别适合中小城市的交通灯、霓虹灯等的应用。而且,它对其他类似系统的开发具有一定的借鉴意义。 1.2目前彩灯的应用情况 LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。彩灯广泛应用于流水灯、跑马灯、鸳鸯戏水灯、流水灯、控制功能,并给出了具体的硬件电路和相应的程序。此课题设计具有很大现实意义,LED彩灯广泛应用于商业街广告灯,也可作为歌厅、酒吧照明等。 1.3主要工作概述 本文所要设计的八路彩灯的功能要求是通过手动开关操作,实现彩灯的两亮两灭

电子技术课程设计题目版电子教案

石家庄经济学院2011/2012第二学期电子技术课程设计题目 信息工程学院 电子信息工程教研室 2012-6-11

题目 题目1:多种波形发生器 1、基本要求:设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求如下: (1)输出波形工作频率范围为0.2HZ~200KHZ,且连续可调; (2)输出频率分四档:低频档:2Hz~20Hz;中频档:20Hz~2kHz;中高频档:2KHz~20kHz;高频档:20kHz~200kHz。 (3)输出带LED指示。 2、扩展: (1)输出矩形波、锯齿波; (2)正弦波、三角波波形幅值均连续可调; (3)方波波形幅值连续可调。 3、参考元器件: 741运放,555,电位器、稳压管、二极管、电阻、电容若干。 4、设计思路 现 ( (2)用多谐振荡器实现 五、评分标准

1.不及格:未完成基本要求,电路不能工作。 2.及格:电路完成了最基本功能,能够产生正弦波、方波、三角波。 3.中:在及格的基础上,频率分为四档,且连续可调。 4.良:电路布局合理,在中的基础上,并能输出矩形波、锯齿波,且输出带LED显示。 5.优:在良的基础上,完成波形幅值的连续可调。 题目2:路灯自动节能控制器 一、设计要求 1.要求有两种工作模式,并可选择在任意工作模式下。 工作模式0:根据光线的亮暗自动打开路灯,亮暗的定义是可调的,而不是一成不变的。 工作模式1:根据时间的不同能自动打开或者关闭路灯,路灯什么时候需要关闭或者打开,时间也是可调的。 2. 到半夜的时候行人稀少,自动关闭一半的路灯,达到节能的目的。 3.至少做六个路灯。 二、扩展部分 1.设计稳压电源供电。 2.能自动记录路灯的开灯个数(用数码管显示) 3.能累计路灯的开灯时间(用数码管显示) 三、参考元器件 NE555、LM339、 LM311、LED 、74LS160、74LS00、光敏电阻Φ14Cds 、电容等。 四、设计思路 图1 系统结构框图 五、评分标准 1.不及格:未完成基本要求,电路不能工作 2.及格:电路完成了最基本功能,可在模式0或模式1下,灯能亮和灭。 3.中:电路完成了基本功能,可在模式0和模式1下,灯能按要求亮灭。 4.良:电路完成了基本功能,电路布局合理,信号清晰,达到了按要求亮灭。

数字电路课程设计

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,各段

二极管就会被点亮,例如,利用74LS48和数码管组合成的显 示译码电路。 ABCD 四个引脚接上一级输出 LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24 进 制 计 数 器 电 路 如 图

相关主题
文本预览
相关文档 最新文档