当前位置:文档之家› 洗衣机控制电路设计 EDA课程设计

洗衣机控制电路设计 EDA课程设计

洗衣机控制电路设计 EDA课程设计
洗衣机控制电路设计 EDA课程设计

HUNAN UNIVERSITY

数字电子技术

课程设计报告

设计课题:洗衣机控制电路设计

学生姓名:王建平

学生学号:

专业班级:08级自动化1班

学院名称:电气与信息工程学院

指导教师:叶佳卓

第2页一课程设计的目的:

1、能够全面巩固和应用“电子技术基础数字部分”课程中所学的基本理论和方法,并初步掌握小型数字系统设计的基本方法。

2、掌握VHDL语言编制小型模块的方法,并采用层次化设计。

3、培养电路设计能力,懂得理论设计与实物实现的有效结合。

4、掌握Altium Designer软件的应用。

二总体方案分析及选择:

洗衣机电路包含有总的控制模块,洗涤控制模块,洗涤记时模块,电动机控制模块以及LCD液晶板的动态显示模块.经过分析后,我们把前四个模块进行组合,把他们合成一个模块即:总控制模块.他们之间的逻辑联结关系,是对数电课程的一个很好总结,也是自己对新知识(LCD液晶板的动态显示)学习理解运用能力的一个很好的提升机会。

三基本功能要求:

1要求设计制作一个普通功能洗衣机控制电路,使之能控制洗衣机的进水阀,

排水阀,洗涤程序电机,甩干驱动装置等按预定程序工作.总体过程包括:进水浸泡洗涤排水甩干五个过程.进水从电路启动开始.其中浸泡可供选择,洗涤时间可以预置,洗涤结束时发出铃声进行提示并自动切断电源.发生故障如:缺水或进水超时排水超时甩干碰桶等时也可自动切断电源!

2根据洗衣机工作时不同的洗衣服数量,我们设计了三个档(duoxi zhongxi

shaoxi)来对洗衣机的进水浸泡洗涤排水甩干的五个过程分别预置时间。以此来区分洗衣机不同洗衣数量下的工作状态。

3用中小规模集成电路芯片或CPLD/FPGA设计符合上述任务要求的电路,并制

作出能实际运行的装置.

4安装并调试电路,测试各部分电路功能或模型.

5演示并交验硬件装置.

下载实现图:

第3页

第4页

四总控制模块的生成程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity washer is

port(

clk0, clk1, rst, alarm: in std_logic;

-----clk0:控制开关脉冲.clk1:记时开关脉冲.Rst:复位端.alarm报警输入端.-------

duoxi,zhongxi,shaoxi : in std_Logic;

-----qiangxi:强洗输入端。zhongxi:中洗输入端。ruoxi:弱洗输入端。------------- water_in, water_out, immersion, dry, z1, z2, voice, poweroff: out std_logic; -----进水,出水,浸泡,甩干,洗涤,响铃,断电输出端-------

display_th, display_tl: out std_logic_vector(3 downto 0)

-------------------输出时间显示高位低位输出端-------------

);

end entity washer;

architecture behave of washer is

signal js, js_ten : std_logic;

signal im, im_ten : std_logic;

signal wa, wa_ten : std_logic;

signal wa1, wa2, pwf: std_logic;

signal cs, cs_ten : std_logic;

signal dr, dr_ten : std_logic;

signal xl, xl_ten : std_logic;

signal js_dh, js_dl: std_logic_vector(3 downto 0);

signal im_dh, im_dl: std_logic_vector(3 downto 0);

signal wa_dh, wa_dl: std_logic_vector(3 downto 0);

signal cs_dh, cs_dl: std_logic_vector(3 downto 0);

signal dr_dh, dr_dl: std_logic_vector(3 downto 0);

signal xl_dh, xl_dl: std_logic_vector(3 downto 0);

signal dis_th,dis_tl: std_logic_vector(3 downto 0);

signal water_inh, water_inl, im_th, im_tl, wash_th: std_logic_vector(3 downto 0);

signal wash_tl, water_outh, water_outl, dry_th, dry_tl: std_logic_vector(3 downto 0);

begin

-----------------------控制:控制器件实现洗衣机的功能:进水->浸水->洗涤->出水->甩

第5页干

control:process(clk0, rst,alarm,duoxi,zhongxi,shaoxi)

variable n: integer;

begin

if duoxi='1' and zhongxi='0' and shaoxi='0' then

water_inh<="0001";

water_inl<="0010";

im_th<="1001";

im_tl<="0000";

wash_th<="1001";

wash_tl<="0000";

water_outh<="0001";

water_outl<="0010";

dry_th<="1001";

dry_tl<="0000";

elsif duoxi='0' and zhongxi='1' and shaoxi='0' then

water_inh<="0000";

water_inl<="0110";

im_th<="0110";

im_tl<="0000";

wash_th<="0110";

wash_tl<="0000";

water_outh<="0000";

water_outl<="0110";

dry_th<="0110";

dry_tl <="0000";

elsif duoxi='0' and zhongxi='0' and shaoxi='1' then

water_inh<="0000";

water_inl<="0110";

im_th<="0011";

im_tl<="0000";

wash_th<="0011";

wash_tl<="0000";

water_outh<="0000";

water_outl<="0110";

dry_th<="0011";

dry_tl <="0000";

else

water_inh<="0000";

water_inl<="0000";

im_th<="0000";

im_tl<="0000";

第6页 wash_th<="0000";

wash_tl<="0000";

water_outh<="0000";

water_outl<="0000";

dry_th<="0000";

dry_tl <="0000";

end if;

if alarm = '1' then

pwf <= '1';

else

if rst = '1' then

n := 0;

im_ten <= '0';

js_ten <= '0';

wa_ten <= '0';

cs_ten <= '0';

dr_ten <= '0';

xl_ten <= '0';

pwf <= '0';

elsif clk0 = '1' and clk0 'event then

if n = 0 then

if water_inh = "0000" and water_inl = "0000" then

n := 1;

else

js_ten <= '1';

if js = '1' then

n := 1;

end if;

end if;

elsif js = '0' and n = 1 then

if im_th = "0000" and im_tl = "0000" then

n := 2;

else

im_ten <= '1';

if im = '1' then

n := 2;

end if;

end if;

elsif im = '0' and n = 2 then

if wash_th = "0000" and wash_tl = "0000" then

n := 3;

else

第7页 wa_ten <= '1';

if wa = '1' then

n := 3;

end if;

end if;

elsif wa = '0' and n = 3 then

if water_outh = "0000" and water_outl = "0000" then n := 4;

else

cs_ten <= '1';

if cs = '1' then

n := 4;

end if;

end if;

elsif cs = '0' and n = 4 then

if dry_th = "0000" and dry_tl = "0000" then

n := 5;

else

dr_ten <= '1';

if dr = '1' then

n := 5;

end if;

end if;

elsif dr = '0' and n = 5 then

xl_ten <= '1';

if xl = '1' then

n := 6;

end if;

elsif xl = '0' and n = 6 then

pwf <= '1';

end if;

end if;

end if;

end process;

-----------------------------记时-----------------------------

------------------进水记时--------------------------

js_jishiqi:process(clk1, js_ten,water_inh,water_inl)

begin

if js_ten = '0' then

js_dh <= water_inh;

js_dl <= water_inl;

js <= '0';

第8页elsif clk1 = '1' and clk1 'event then

if js_dh = "0000" and js_dl = "0000" then

js <= '0';

else

js <= '1';

if js_dl = "0000" then

js_dl <= "1001";

js_dh <= js_dh - 1;

else

js_dl <= js_dl - 1;

end if;

end if;

end if;

end process;

-------------浸泡记时-----------------------

im_jishiqi:process(clk1, im_ten,im_th,im_tl)

begin

if im_ten = '0' then

im_dh <= im_th;

im_dl <= im_tl;

im <= '0';

elsif clk1 = '1' and clk1 'event then

if im_dh = "0000" and im_dl = "0000" then

im <= '0';

else

im <= '1';

if im_dl = "0000" then

im_dl <= "1001";

im_dh <= im_dh - 1;

else

im_dl <= im_dl - 1;

end if;

end if;

end if;

end process;

-----------------洗涤记时----------------------

wa_jishiqi:process(clk1, wa_ten,wash_th,wash_tl)

variable m: integer;

begin

if wa_ten = '0' then

wa_dh <= wash_th;

wa_dl <= wash_tl;

第9页 wa <= '0';

wa1 <= '0';

wa2 <= '0';

m := 0;

elsif clk1 = '1' and clk1 'event then

if wa_dh = "0000" and wa_dl = "0000" then

wa <= '0';

wa1 <= '0';

wa2 <= '0';

else

wa <= '1';

if m = 0 then

wa1 <= '1';

wa2 <= '1';

elsif m = 10 then

wa1 <= '0';

wa2 <= '1';

elsif m = 13 then

wa1 <= '1';

wa2 <= '0';

elsif m = 23 then

wa1 <= '0';

wa2 <= '0';

elsif m = 26 then

m := 0;

wa1 <= '1';

wa2 <= '1';

end if;

m := m + 1;

if wa_dl = "0000" then

wa_dl <= "1001";

wa_dh <= wa_dh - 1;

else

wa_dl <= wa_dl - 1;

end if;

end if;

end if;

end process;

--------------出水记时---------------------------

cs_jishiqi:process(clk1, cs_ten,water_outh,water_outl)

begin

if cs_ten = '0' then

第10页 cs_dh <= water_outh;

cs_dl <= water_outl;

cs <= '0';

elsif clk1 = '1' and clk1 'event then

if cs_dh = "0000" and cs_dl = "0000" then

cs <= '0';

else

cs <= '1';

if cs_dl = "0000" then

cs_dl <= "1001";

cs_dh <= cs_dh - 1;

else

cs_dl <= cs_dl - 1;

end if;

end if;

end if;

end process;

--------------甩干记时-----------------------

dr_jishiqi:process(clk1, dr_ten,dry_th,dry_tl)

begin

if dr_ten = '0' then

dr_dh <= dry_th;

dr_dl <= dry_tl;

dr <= '0';

elsif clk1 = '1' and clk1 'event then

if dr_dh = "0000" and dr_dl = "0000" then

dr <= '0';

else

dr <= '1';

if dr_dl = "0000" then

dr_dl <= "1001";

dr_dh <= dr_dh - 1;

else

dr_dl <= dr_dl - 1;

end if;

end if;

end if;

end process;

------------------响铃记时----------------------

xl_jishiqi:process(clk1, xl_ten)

begin

if xl_ten = '0' then

第11页

xl_dh <= "0010";

xl_dl <= "0000";

xl <= '0';

elsif clk1 = '1' and clk1 'event then

if xl_dh = "0000" and xl_dl = "0000" then

xl <= '0';

else

xl <= '1';

if xl_dl = "0000" then

xl_dl <= "1001";

xl_dh <= xl_dh - 1;

else

xl_dl <= xl_dl - 1;

end if;

end if;

end if;

end process;

----------------------------显示时间--------------------------

xianshishijian: process(clk1, js_ten, im_ten, wa_ten,cs_ten,dr_ten,xl_ten) begin

if js_ten = '1' and im_ten = '0' and wa_ten = '0' and cs_ten = '0' and dr_ten = '0' and xl_ten = '0' then

if clk1 = '1' and clk1 'event then

dis_th <= js_dh;

dis_tl <= js_dl;

end if;

elsif im_ten = '1' and wa_ten = '0' and cs_ten = '0' and dr_ten = '0' and xl_ten = '0' then

if clk1 = '1' and clk1 'event then

dis_th <= im_dh;

dis_tl <= im_dl;

end if;

elsif wa_ten = '1' and cs_ten = '0' and dr_ten = '0' and xl_ten = '0' then if clk1 = '1' and clk1 'event then

dis_th <= wa_dh;

dis_tl <= wa_dl;

end if;

elsif cs_ten = '1' and dr_ten = '0' and xl_ten = '0' then

if clk1 = '1' and clk1 'event then

dis_th <= cs_dh;

dis_tl <= cs_dl;

end if;

第12页 elsif dr_ten = '1' and xl_ten = '0' then

if clk1 = '1' and clk1 'event then

dis_th <= dr_dh;

dis_tl <= dr_dl;

end if;

elsif xl_ten = '1' then

if clk1 = '1' and clk1 'event then

dis_th <= xl_dh;

dis_tl <= xl_dl;

end if;

end if;

end process;

----------------------------输出-----------------------------

output:process(dis_th, dis_tl, im, js, cs, dr, wa1, wa2, xl, pwf)

begin

display_th <= dis_th;

display_tl <= dis_tl;

immersion <= im;

water_in <= js;

water_out <= cs;

dry <= dr;

z1 <= wa1;

z2 <= wa2;

voice <= xl;

poweroff <= pwf;

end process;

end behave;

测试文件:

------------------------------------------------------------

-- VHDL Testbench for washer

-- 2010 11 26 21 48 4

-- Created by "EditVHDL"

-- "Copyright (c) 2002 Altium Limited"

------------------------------------------------------------

Library IEEE;

Use IEEE.std_logic_1164.all;

Use IEEE.std_logic_textio.all;

Use STD.textio.all;

------------------------------------------------------------

第13页------------------------------------------------------------

entity Testwasher is

end Testwasher;

------------------------------------------------------------

------------------------------------------------------------

architecture stimulus of Testwasher is

file RESULTS: TEXT open WRITE_MODE is "results.txt";

procedure WRITE_RESULTS(

alarm: std_logic;

clk0: std_logic;

clk1: std_logic;

display_th: std_logic_vector(3 downto 0);

display_tl: std_logic_vector(3 downto 0);

dry: std_logic;

duoxi: std_logic;

immersion: std_logic;

poweroff: std_logic;

rst: std_logic;

shaoxi: std_logic;

voice: std_logic;

water_in: std_logic;

water_out: std_logic;

z1: std_logic;

z2: std_logic;

zhongxi: std_logic

) is

variable l_out : line;

begin

write(l_out, now, right, 15);

write(l_out, alarm, right, 2);

write(l_out, clk0, right, 2);

write(l_out, clk1, right, 2);

write(l_out, display_th, right, 5);

write(l_out, display_tl, right, 5);

write(l_out, dry, right, 2);

write(l_out, duoxi, right, 2);

write(l_out, immersion, right, 2);

write(l_out, poweroff, right, 2);

write(l_out, rst, right, 2);

write(l_out, shaoxi, right, 2);

write(l_out, voice, right, 2);

第14页 write(l_out, water_in, right, 2);

write(l_out, water_out, right, 2);

write(l_out, z1, right, 2);

write(l_out, z2, right, 2);

write(l_out, zhongxi, right, 2);

writeline(RESULTS, l_out);

end procedure;

component washer

port (

alarm: in std_logic;

clk0: in std_logic;

clk1: in std_logic;

display_th: out std_logic_vector(3 downto 0);

display_tl: out std_logic_vector(3 downto 0);

dry: out std_logic;

duoxi: in std_logic;

immersion: out std_logic;

poweroff: out std_logic;

rst: in std_logic;

shaoxi: in std_logic;

voice: out std_logic;

water_in: out std_logic;

water_out: out std_logic;

z1: out std_logic;

z2: out std_logic;

zhongxi: in std_logic

);

end component;

signal alarm: std_logic;

signal clk0: std_logic;

signal clk1: std_logic;

signal display_th: std_logic_vector(3 downto 0);

signal display_tl: std_logic_vector(3 downto 0);

signal dry: std_logic;

signal duoxi: std_logic;

signal immersion: std_logic;

signal poweroff: std_logic;

signal rst: std_logic;

signal shaoxi: std_logic;

signal voice: std_logic;

第15页 signal water_in: std_logic;

signal water_out: std_logic;

signal z1: std_logic;

signal z2: std_logic;

signal zhongxi: std_logic;

begin

DUT:washer port map (

alarm => alarm,

clk0 => clk0,

clk1 => clk1,

display_th => display_th,

display_tl => display_tl,

dry => dry,

duoxi => duoxi,

immersion => immersion,

poweroff => poweroff,

rst => rst,

shaoxi => shaoxi,

voice => voice,

water_in => water_in,

water_out => water_out,

z1 => z1,

z2 => z2,

zhongxi => zhongxi

);

STIMULUS0:process

begin

-- insert stimulus here

alarm <= '0';

duoxi<='0';

zhongxi<='1';

shaoxi<='0' ;

rst <= '1';

wait for 10ns;

rst <= '0';

wait for 1000ns;

alarm <= '1';

wait;

end process;

第16页 process

begin

clk0 <= '1';

clk1 <= '1';

wait for 1ns;

clk0 <= '0';

clk1 <= '0';

wait for 1ns;

end process;

WRITE_RESULTS(

alarm,

clk0,

clk1,

display_th,

display_tl,

dry,

duoxi,

immersion,

poweroff,

rst,

shaoxi,

voice,

water_in,

water_out,

z1,

z2,

zhongxi

);

end architecture;

---------------------------

---------------------------

------

生成的器件和仿真波形:

第17页

第18页

显示模块生成程序:

LIBRARY IEEE;

USE IEEE.std_Logic_1164.ALL;

ENTITY OUTPUT IS

PORT

(R, CP, BUSY :IN Std_Logic;-----使能输入端,脉冲输入端,输入信号忙输入端-------- LINE_OUT,STROBE,RST:OUT STD_LOGIC;------行选择输出端,输入数据使能输出端,复位输出端---

TIME_IN :IN STD_LOGIC_VECTOR(7 DOWNTO 0);--------输入时间-----------

ADDR_OUT :OUT Std_Logic_Vector( 3DOWNTO 0);--------地址输出端----------

DATA_OUT : OUT Std_Logic_Vector(7 DOWNTO 0));-----数据输出端---------

END OUTPUT;

ARCHITECTURE XS OF OUTPUT IS

TYPE State_type IS(S0,S1,S2,S3,S4);

SIGNAL S :State_Type;

SIGNAL LCDPT:INTEGER RANGE 0 TO 14;

BEGIN

PROCESS(CP,R)

BEGIN

IF R='1' THEN

S<=S0;LCDPT<=0;RST<='1';

ELSIF CP='1' AND CP 'EVENT THEN

CASE S IS

WHEN S0=> S<=S1;LCDPT<=0;RST<='1';

WHEN S1=> RST<='0';STROBE<='0';

IF BUSY='0' THEN

LCDPT<=LCDPT+1;

IF LCDPT=15 THEN

S<=S3;

ELSE

S<=S2;

END IF;

END IF;

WHEN S2=> S<=S1;STROBE<='1';

WHEN S3=> STROBE<='0';

IF BUSY='0' THEN

IF LCDPT=16 THEN

LCDPT<=15;

第19页

ELSE

LCDPT<=LCDPT+1;

END IF;

S<=S4;

END IF;

WHEN S4=> STROBE<='1';S<=S3;

WHEN OTHERS=> NULL;

END CASE;

END IF;

END PROCESS;

PROCESS (LCDPT,TIME_IN)

BEGIN

CASE LCDPT IS

WHEN 0 => NULL;

WHEN 1 => DATA_OUT<="01010111" ; ADDR_OUT<="0000"; LINE_OUT<='0';

WHEN 2 => DATA_OUT<="01000001" ; ADDR_OUT<="0001"; LINE_OUT<='0';

WHEN 3 => DATA_OUT<="01010011" ; ADDR_OUT<="0010"; LINE_OUT<='0';

WHEN 4 => DATA_OUT<="01001000" ; ADDR_OUT<="0011"; LINE_OUT<='0';

WHEN 5 => DATA_OUT<="01000101" ; ADDR_OUT<="0100"; LINE_OUT<='0';

WHEN 6 => DATA_OUT<="01010010" ; ADDR_OUT<="0101"; LINE_OUT<='0';

WHEN 7 => DATA_OUT<="01001111" ; ADDR_OUT<="0111"; LINE_OUT<='0';

WHEN 8 => DATA_OUT<="01000110" ; ADDR_OUT<="1000"; LINE_OUT<='0';

WHEN 9 => DATA_OUT<="01010000" ; ADDR_OUT<="1010"; LINE_OUT<='0';

WHEN 10 => DATA_OUT<="01001001" ; ADDR_OUT<="1011"; LINE_OUT<='0';

WHEN 11=> DATA_OUT<="01001110" ; ADDR_OUT<="1100"; LINE_OUT<='0';

WHEN 12 => DATA_OUT<="01000111" ; ADDR_OUT<="1101"; LINE_OUT<='0';

WHEN 13 => DATA_OUT<="0011"&TIME_IN(7 DOWNTO 4);ADDR_OUT<="0111";LINE_OUT<='1';

WHEN 14=> DATA_OUT<="0011"&TIME_IN(3 DOWNTO 0);ADDR_OUT<="1001";LINE_OUT<='1';

END CASE;

END PROCESS;

END ARCHITECTURE;

--1,2,3,4,6,7,8,9,10,11,12,13,14输入字母WASHER OF LTTWWQ,15,16输入时间变化------

测试文件:

------------------------------------------------------------

-- VHDL Testbench for output

-- 2010 11 16 14 31 28

第20页-- Created by "EditVHDL"

-- "Copyright (c) 2002 Altium Limited"

------------------------------------------------------------

Library IEEE;

Use IEEE.std_logic_1164.all;

Use IEEE.std_logic_textio.all;

Use STD.textio.all;

------------------------------------------------------------

------------------------------------------------------------

entity Testoutput is

end Testoutput;

------------------------------------------------------------

------------------------------------------------------------

architecture stimulus of Testoutput is

file RESULTS: TEXT open WRITE_MODE is "results.txt";

procedure WRITE_RESULTS(

ADDR_OUT: std_logic_vector(3 downto 0);

BUSY: std_logic;

CP: std_logic;

DATA_OUT: std_logic_vector(7 downto 0);

LINE_OUT: std_logic;

R: std_logic;

RST: std_logic;

STROBE: std_logic;

TIME_IN: std_logic_vector(7 downto 0)

) is

variable l_out : line;

begin

write(l_out, now, right, 15);

write(l_out, ADDR_OUT, right, 5);

write(l_out, BUSY, right, 2);

write(l_out, CP, right, 2);

write(l_out, DATA_OUT, right, 9);

write(l_out, LINE_OUT, right, 2);

write(l_out, R, right, 2);

write(l_out, RST, right, 2);

write(l_out, STROBE, right, 2);

write(l_out, TIME_IN, right, 9);

writeline(RESULTS, l_out);

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

洗衣机的自动控制原理

电气信息学院 课程设计报告 课程设计名称:电子技术课程设计题目:洗衣机的自动控制原理学院:电气信息学院 专业:电气工程与自动化 年级:2008级 学生:范宇 学号:312008********* 指导教师:邱晓初 完成日期:2010年7月16日

洗衣机的自动控制原理 摘要:从课程设计要求来看,要求实现电机的正转、反转、暂停,这些需要一个555多谐振荡器和多个的计数器来实现,从而完成洗衣机的漂洗。同时计数器之间的相互影响,清零、置数,通过对驱动电机的控制来实现脱水。同时为实现自动洗衣机的单独漂洗和单独脱水,采用个各种的门电机和开关。这样,可以人工手动控制计数器来实现对时间的设置,实现工作与停止之间的转换,从而对洗衣机的工作状态的控制。本次课程设计用个proteus 对其电路进行了仿真。同时主要要求对洗衣机自动控制原理的设计、仿真、各一些初步的调试。 关键词:洗衣机自动控制计数器触发器多谐振荡器 Abstract:Curriculum design point of view, required to achieve the motor forward and backward, pause, they need a more than 555 over the counter harmonic oscillator and to achieve, thus completing the washing machine's rinse. At the same time the interaction between the counter, clear, set the number of motor control by the drive to achieve dehydration. Automatic washing machine while a separate rinsing and dehydration alone, using a variety of door motor and switch. This can be achieved by manual control on the time counter set to achieve the conversion between work and stop to work on the washing machine state control. The curriculum design of its circuit with a proteus simulation. Automatic washing machine while the main requirements of the principle of design, simulation, the number of initial debugging. Keywords:washing machine, automatic control, counter, Trigger, Multi-vibrator

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

基于PLC全自动洗衣机控制电路设计

目录 一、设计课题: 全自动洗衣机控制电路设计。 二、课题内容: 全自动洗衣机运行框图及梯形图控制程序的编制,并进行硬件接线。 三、设计目的: 1.进一步掌握和巩固PLC控制的基本知识; 2.掌握PLC程序的设计及调试方法; 3. 熟练掌握PLC的硬件接线; 3.学会查阅有关专业资料及设计手册; 四、程序设计任务及要求 1.控制要求 (1)按下启动按钮及水位选择开关,开始进水直到高(中、低)水位,关水;(2)2秒后开始洗涤; (3)洗涤时,正转30秒,停2秒,然后反转30秒,停2秒; (4)如此循环5次,总共320秒后开始排水,排空后脱水30秒; (5)开始清洗,重复(1)-(4),清洗两遍; (6)清洗完成,报警3秒并自动停机;

(7)若按下停车按钮,可手动排水(不脱水)和手动脱水(不计数)。五、PLC机型 日本三凌公司的F系列PLC:FX1N系列。 六、控制全自动洗衣机的课题思路 按下电源开关,选择水位高低,当水位到达固定液位后洗衣机开始洗涤衣服。要使用P LC来实现洗衣机的全自动,它的输入设备主要有电源按钮,启动按钮,水位选择按钮(高、中、低),排水和脱水按钮等。输出设备主要有电源指示灯,水位选择按钮信号灯(高、中、低),进水、排水指示灯,洗涤电动机由控制要求,首先打开电源,用户根据衣服的多少和大小进行水位的选择,当水位达到固定液位,电动机开始正转、反转进行洗衣,第一遍洗衣 完成后自动排水、脱水开始再次洗涤,洗衣结束后蜂鸣器报警。七、全自动洗衣机控制程序流程图 全自动洗衣机控制流程图 八、全自动洗衣机I/O分配图

I/O地址分配表 九、PLC端子接线图 PLC外部接线图 十、全自动洗衣机控制梯形图 十一、程序运行功能简要分析 1.按下X1启动按钮,洗衣机开始运行; 2.如果按下X1,X2,X3其中下一个进水感应开关,选择高中低水位由行程开关X7、X10、X11控制水位高低,当水位到达开关快关闭合进入下一步骤。

简易洗衣机控制电路完美版

武汉理工大学《数字电子技术基础》课程设计说明书 1 Proteus软件简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。 1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发- 1 - 武汉理工大学《数字电子技术基础》课程设计说明书 生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、

全自动洗衣机电路原理图

全自动洗衣机电路原理图 全自动洗衣机就是将洗衣的全过程(泡浸-洗涤-漂洗-脱水)预先设定好N个程序,洗衣时选择其中一个程序,打开水龙头和启动洗衣机开关后洗衣的全过程就会自动完成,洗衣完成时由蜂鸣器发出响声。 全自动洗衣机由洗衣系统和控制电路组成。其控制电路分为机械和电脑型,电脑型控制电路是以单片机作为控制电路的核心。图1给出单片机Z86C09组成的全自动洗衣机的控制电路。 Ⅰ.自动洗衣机的洗衣程序 洗衣机面板上有4个按钮K1、K2、K5和K6。 K1用于水流选择,分两档:普通水流与柔和水流; K2用于洗衣周期选择,可以选择洗涤、漂洗和脱水三个过程; K5是暂停开关; K6是洗衣程序选择键。洗衣程序分为标准程序和经济程序。 洗衣机的标准洗衣程序是:洗涤——脱水——脱水——漂洗——脱水——漂洗——脱水。经济洗衣程序少一次漂洗和脱水过程。 1.涤过程 通电后,洗衣机进入暂停状态,以便放好衣物。若不选择洗衣周期,则洗衣机从洗涤过程开始。当按暂停开关键K5时,进入洗涤过程。首先进水阀FV通电,打开进水开关,向洗衣杨供水;当到达预定水位时,水位开关K4接通,进水阀断电关闭,停止进水;电机MO接通电源,带动波轮旋转,形成洗衣水流。电机MO是一个正反转电机,可以形成往返水流,有利于洗涤衣物。 2.脱水过程 洗涤或漂洗过程结束后,电机MO停止转动,排水阀MG通电,开始排水。排水阀动作时,带动离合器动作,使电机可以带动内桶转动。当水位低到一定值时,水位开关K4断开,再经过一段时间后,电机开始正转,带动内桶高速旋转,甩干衣物。 3.漂洗过程 与洗涤过程操作相同,只是时间短一些。 全部洗衣工作完成后,由蜂鸣器发出音响,表示衣物已洗干净。 Ⅱ.洗衣机控制器的硬件组成原理 洗衣机控制器由单片机Z86C09作为控制器的核心所构成,该控制器具有以下特点: (1)具有较强的抗干扰能力,当受到外部强干扰,程序出错时,可以自动使系统复位重新执行程序。 (2)采用无噪声、无电磁干扰的双向晶闸管作为控制元件,控制电磁阀和电机。 (3)具有欠压和过压保护,欠压时,控制器不工作;超压时,保护电路起作用。 (4)具有瞬间掉电保护功能,电源短时间停电后,电压恢复时,能够维持原运行程序的工作状态并继续完成洗衣程序。

洗衣机洗涤控制电路设计

洗衣机洗涤控制电路设计 姓名: 学号: 专业:集成电路工程 2010年11月17日

洗衣机洗涤控制电路设计 一、洗衣机洗涤控制电路的性能要求 1.强洗、标准、轻柔三种洗涤模式 强洗周期水流控制:正向电机接通5秒后,停2秒;再反向电机接通5秒,停2秒;然后又正向电机接通5秒。如此循环控制电机,直到洗涤定时结束。 标准洗周期水流控制:其过程与强洗周期水流控制相同,不同的是正向接通时间为3.5秒,停止时间为1.5秒,反向接通时间为3.5秒。 轻柔洗周期水流控制:正向接通时间为2.5秒,停止时间为1.5秒,反向接通时间为2.5秒。 2.三种洗涤定时 洗衣机洗涤定时可有三种选择:5分钟、10分钟、15分钟。 3.上电复位后的初始设定 初始设定为标准模式,定时时间为15分钟。如需修改可按模式选择按键和定时选择按键。每按一次按键转换一次,可多次进行循环选择。当某一次洗涤过程结束后,自动返回初始状态,等待下一次洗涤过程开始。 4.启/停控制 洗涤过程由启/停键控制。每按一次启/停键,状态转换一次。 5.洗涤定时精度 洗涤定时误差要求不大于0.1秒。 为简化设计洗衣机洗涤控制电路,只要求输出正向和反向的电机控制信号。 二、洗衣机洗涤控制电路的结构 根据上述对洗衣机洗涤控制电路的性能要求,可以画出如图1所示的结构框图。 该控制器由四大部分组成:主分频器、主控制器、洗涤定时器和水流控制器。

图1 洗衣机洗涤控制电路的结构框图 1.主分频器 主分频器用来产生1秒和0.1秒的时钟供主控制器使用。因DE2开发板上只有27MHz和50MHz时钟,故本设计为简单起见采用开发板自带的50MHz晶振,50000000分频后得到1HZ的时钟和5000000分频后得到10Hz的时钟。 图2 主分频器的结构 2.主控制器 主控制器的输入信号和输出信号如图1所示,分别叙述如下。 (1) 输入信号: reset:上电复位; start_stop:启/停按键输入; mode_sel:洗涤模式选择按键输入; 系统时钟输入(sysclk):50MHz主时钟; time_sel:洗涤定时选择按键输入; timer_down:定时到输入。 (2) 输出信号: s5min_out:5分钟定时控制; s10min_out:10分钟定时控制;

洗衣机控制电路数电实验

2010—2011学年第二学期《数字电子技术课程设计》报告 课题:简易洗衣机控制电路 专业班级:自动化 09-1 姓名:仇涛 学号: 09051107 设计日期: 2011年7月7日~10日

目录 一、设计任务及要求-------------------------------------------------------------------------------2 (一)具体要求--------------------------------------------------------------------------------2 (二)输入输出资源说明--------------------------------------------------------------------2 二、设计原理与方案--------------------------------------------------------------------------------4 (一)顶层设计方案---------------------------------------------------------------------------4(二)分频器设计方案------------------------------------------------------------------------5(三)计时器(倒计时)设计方案---------------------------------------------------------5 (四)显示器设计方案------------------------------------------------------------------------5(五)暂停设计方案---------------------------------------------------------------------------5 三、电路设计、仿真与实现-----------------------------------------------------------------------6(一)顶层的设计实现------------------------------------------------------------------------6(二)分频器的设计实现---------------------------------------------------------------------8

洗衣机电路设计方案样本

洗衣机设计平台 一、产品概述 随着人们的生活水平的日益提高, 洗衣机的使用也越来越普及, 当前全国的洗衣机的年产量已超过1000万台。洗衣机的分类较多, 根据不同的角度大致可分如下几类: 洗衣机从不同洗衣的原理来说可分为波轮洗衣机、滚筒洗衣机、以及搅拌式洗衣机。 洗衣机从结构上又可分为: 单缸和双缸洗衣机; 洗衣机从水流方式上又可分为: 瀑布水流、手搓水流、悬浮水流等; 洗衣机从电机的驱动方式上又可分为: 间接驱动和直接驱动( DD型洗衣机) ; 从洗衣机的电机的驱动电源的不同可分为: 普通洗衣机和变频洗衣机, 其中变频洗衣机又可分为: 直流变频洗衣机和交流变频洗衣机; 从洗衣机的显示方式来分有: LED灯显示、数码管显示、 LCD显示、以及VFD显示。 当前在国内波轮洗衣机占洗衣机用量的大部分, 滚筒洗衣机和搅拌式洗衣机在国内很少, 主要在欧美的家庭大量使用。但近几年滚筒洗衣机洗衣机在国内的市场呈快速增长。 二、主要功能 波轮洗衣机主要功能完成洗衣过程中的进水、排水、洗涤、漂洗、脱水、自动跳电、故障自检等功能。当前市场推出的健康型洗衣机还要完成消毒、杀菌功能。 滚筒洗衣机的主要功能除上面提到的波轮洗衣机的主要功能外, 还有给水加热和温控, 以及烘干衣物等功能。 三、产品硬件

1. 结构图及功能划分 1.电脑程控器的基本电路框图 交流11V+12V+5V 2.硬件功能模块 全自动洗衣机的电路包含220V交流负载驱动电路, IC和蜂鸣器工作用的低压直流电路及高低压共路的电路。电脑程控器一般由下列九部分电路组成: (1)单片机程控器控制中心 单片机IC是程控器的控制中心, IC的ROM中固化了预定程序, 一般均采用8位微处理器( CPU) 的IC, 它由算术逻辑单元ALU、可掩膜程序存储器ROM、数据存储器RAM、输入输出接口以及控制计时、定时逻辑单元等组成。IC工作时, 对各功能键进行扫描处理, 根据功能键设置情况输出响应的控制信号。 (2) 直流电源电路 电气控制系统一般需两种直流电源: +5V和+12V, 其中+5V作为IC、 LED

数电课程设计报告 洗衣机控制电路

一.设计总体思路,基本原理和框图 1.设计总体思路 课程设计要求实现电机的正传、反转、暂停,用两个LED灯的三种状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,正好一个60秒减计数器可以构成一个循环结构。循环的总时间可以用一个外围100进制减计数器解决,并用两个数码管显示时间。这样一个电路的主体电路就构造出来了,然后一步步实现其具体的功能。用一个74LS74触发器控制整个电路的停止和清零以及连接蜂鸣器计构成报警模块。信号发生器仿真时就直接用方波信号代替,安装电路的时候就直接用脉冲信号。设置两个开关以控制电路的启动和随时停止。现在大体上就这样计划,下面说说基本原理。 2.基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。 3.系统设计框图

二.仿真设计: (一).单元电路的设计 1.分、秒计数器模块 一百进制分计数器和六十秒计数器的原理是一样的,不同的只是它们的输入脉冲和进制不同而已,我们用四片74LS192来实现分计数和秒计数功能,我们要的只是减计数,所以我们把它的UP端接到高电平上去,DOWN端接到秒脉冲上;十分秒位上的输入端B、C端接到高电平上,即从输入端置入0110(十进制的6),秒十位的LD端和借位端BO联在一起,再把秒位的BO端和十秒位的DOWN 联在一起。当秒脉冲从秒位的DOWN端输入的时候秒计数的192开始从9减到0;这时,它的借位端BO 会发出一个低电平到秒十位的输入端DOWN,秒十位的计数从6变到5,一直到变为0;当高低位全为零的时候,秒十位的BO发出一个低电平信号,DOWN为零时,置数端LD等于零,秒十位完成并行置数,下一个DOWN脉冲来到时,计数器进入下一个循环减计数工作中。 对于分计数来说,道理也是一样的;只是要求,当秒计数完成了,分可以自动减少,需要把秒十位的借位端BO端接到分计数的DOWN端作为分计数的输入信号来实现秒从分计数上的借位。当然,这些计数器工作,其中的清零端CR 要处于低电平,置数端不置数时要处于高电平。这是一个独立工作的最高可以显示101分钟的计时器。把四个192的QA/QB/QC/QD都接到外部的显示电路上就可以看到时间的显示了。作为洗衣机控制器的一个模块,它还得有一定的接口来和其他的模块连接在一起协调工作,分计数的清零端LD是接在一起的;秒的清零端LD又是接在一起的,所以当要从外部把它们强制清零时,可以用一个三极管(NPN)或者两个或门就可以实现该功能。还有我们可以利用分计数的UP 端来进行外部置数,当把它们各接到一个低触发(平时保持高电平,外部给一个力就输入一个低电平)的脉冲上就可以实现从0-9的数字输入。

全自动洗衣机控制电路

全自动洗衣机控制电路 摘要自19世纪中叶,美国人史密斯研制出世界上首台洗衣机至今,洗衣机的发展已经历了一个多世纪。1910年世界上第一台电动洗衣机问世,标志着人类家务劳动自动化的开始。在数字技术风行的今天,大多数的家用电器实现了数字化控制。1922年世界上第一台搅拌式洗衣机在美国诞生。1937年世界上第一台全自动滚筒式洗衣机投放市场。1957年三洋公司推出世界上第一台涡流式波轮洗衣机。从此,确立了搅拌式、滚筒式和波轮式三种工作方式洗衣机三足鼎立天下的局面。 关键词全自动洗衣机定时器 1 引言洗衣机是一种在家庭中不可缺少的家用电器,发展非常快,全自动式洗衣机因使用方便得到大家的青睐,全自动即进水、洗涤、漂洗、甩干等一系列过程自动完成。它的发明和应用使人们的洗衣工作变得省时又省力,很好地缓解了人们在家务劳动方面的压力。而在家电市场竞争日益激烈和利润下降的今天,各大家电生产厂商均致力于开发出能满足用户各种要求的智能家电产品,并努力降低生产成本以增强竞争力。 2 方案设计 洗衣机的主要控制电路是一个定时器,它按照一定制洗涤程序控制电动机 作正向和反向转动.定时器可以采用机械式,也可采用电子式.这里采用电 子定时器来控制洗衣机的运转(图A)。

图A 定时器来控制洗衣机的运转 2.1 设电动机用k 1和k 2两个继电器控制,继电器驱动电路如下图B 所示。洗涤定时间在0~10min 内由用户任意设定。 2.2 用两位数码管显示洗涤的预置时间,按倒计时方式对洗涤过程作计时显示,直至时间到而停机。 如果定时时间到,则停机并发出音响信号。 2.3 当定时时间到达终点时,一方面使电动机停转,同时发出音响信号提醒用户注意。 2.4 洗涤过程在送入预置时间后开始运转。 图B 洗衣机电动机驱动电路 3 总体方案与工作原理 3.1 本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,二是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循环直至所设定的总定时时间到为止;依据上述要求,可画出总定时T 和电动机驱动信号Z1、Z2的工作波形如下图C 所示。 正转(10S) 暂停(10S) 反转(10S) 暂停(10S) 停止 定时未到 定时启动 定时到 Z 111Z 2 R b1 R b2 VT 1 3DG12 VT 2 3DG12 VD 1 VD 2 K 2 ~220 K 1 正转 反转

简易洗衣机控制器的设计与制作

题目:简易洗衣机控制器的设计与制作 专业班级:_______________ 班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下: 1. 定时到 洗衣机电机的工作顺序: 启动---- >正转20s -- >暂行10s --- >反转20s ---- >暂行10s --- >停止

定时未到 2.用4个LED模拟洗衣机的动作状态:LED1?LED4右移循环点亮表示正转,LED1?LED4左移循环点亮表示反转,LED1?LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发岀音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二?设计要求: 1.设计思路清晰,给岀整体设计框图; 2.设计各单元电路,给岀具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写岀设计报告; 主要设计条件 1.提供直流稳压电源、信号源、示波器等仪器; 2.提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3.提供电子综合实验装置。

说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图; 5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一?星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉

洗衣机自动控制电路设计与实现

武汉理工大学《数字电子技术基础》课程设计 课程设计任务书 学生姓名:杜炳谦专业班级:通信1006 指导教师:付琴工作单位:信息工程学院 题目: 洗衣机自动控制电路设计与实现 初始条件: 通过学到的知识,利用定时器、数码管、LED等数字电路器实现系统设计。要求完成的主要任务: 1.电路原理说明以及原理图的设计 2.设计电路的仿真,并给出仿真结果及分析 3.设计报告的撰写 时间安排: 指导教师签名: 2012年 6月 7 日系主任(或责任教师)签名: 2012年 6月 7 日

目录 目录........................................................................................................................ I 摘要.................................................................................................................... I Abstract ................................................................................................................. I I 1.引言 (1) 2.设计要求和实现的功能 (2) 3.设计原理与方案 (3) 3.1 方案选择 (4) 4.单元电路的设计 (5) 4.1秒脉冲发生器 (5) 4.2 分秒计数器 (6) 4.3 控制电路部分 (10) 4.4循环电路 (11) 4.5 总电路图 (13) 5.电路仿真结果 (14) 5.1 秒脉冲发生器 (14) 5.2 显示电路仿真结果 (15) 6心得体会 (19) 参考文献 (19) 附录 (21)

洗衣机控制电路

一、概述 本设计要求完成洗衣机控制电路的设计。控制洗衣机按定时启动、正转20秒、暂停10秒、反转20秒、暂停10秒的洗涤模式不停的循环,到达定时时间后停止。并要求有数码管显示时间,LED显示状态,声音报警。 通过以上要求,洗衣机洗涤模式是以60秒钟即一分钟作为循环。计时方式是通过预置时间定时,因而初步设想使用一个六十进制倒计时电路作为核心控制。预置时间以分为单位,则还需要分计时器,并且要能预置时间。同时,时间的计时按秒来进行,则需要用一个妙信号发生器。最后,用两位数码管作为时间显示电路,三个LED灯作为状态显示电路,蜂鸣器作为报警电路。根据以上分析,设计电路基本框图入下: 二、方案论证 计时器芯片选择 采用74LS192芯片实现 中规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加减计数器,它采用8421码二·十进制编码,并具有直接清零、置数。加/减计数功能,为双时钟芯片,计数采用DOWN时钟,而置数可以采用UP时钟,因而置数显得十分简单,是很好的选择。

显示电路选择 显示电路用单位的数码管和相适应的显示译码器,这儿采用共阴极的单位数码管,应用十分简单。 状态显示电路 使用三个不同颜色的数码管来表示不同的洗涤状态,通过74LS138作为译码器,来实现该功能。 经过以上分析,计时电路采用四片74LS192实现,两片组成六十进制计数器实现秒信号计数,当计满六十秒后向前借位。另外两片构成一百进制计数器,来计数秒的借位信号,同时实现预置数功能。数码管、报警电路和LED灯分别与逻辑电路进行组合,接到74LS192的输出,以完成时间显示、报警和状态显示,洗衣机控制则使用74LS138作为译码输出进行不同的组合,完成控制。 其中显示电路实际连接为: 图1 显示电路具体图 三、电路设计 计时电路 我们用四片74LS192来实现分计数和秒计数功能,对于秒信号,我们要的只是减计数,所以我们把两片秒计数的74LS192芯片的UP端接到高电平上去,DOWN端接到秒脉冲上;十分秒位上的输入端B、C端接到高电平上,即从输入端置入0110(十进制的6),秒十位的LD端和借位端BO联在一起,再把秒位的BO端和十秒位的DOWN联在一起。由图3.2.3可知,LD低电平有效,产生借位信号时,BO也是低电平有效。当秒脉冲从秒位的DOWN端输入的时候秒计数的74LS192开始从9减到0;这时,它的借位端BO 会发出一个低电平到秒十位的输入端DOWN,秒十位的计数从6变到5,一直到变为0;当高低位全为零的时候,

全自动洗衣机控制系统设计

分数: 华南理工大学广州学院 课程设计任务书 题目:全自动洗衣机的PLC控制系统设计 课程:PLC技能培训课程设计 专业:自动化 班级: 姓名: 学号:

第一部分任务书

《PLC技能培训》课程设计任务书 一、课程设计目的 编程序控制器(PLC)于20世纪60年代在美国诞生,在我国的发展与应用已有30多年的历史,现在它已经广泛应用于国民经济的各个工业生产领域,成为提高工业装备电气自动化水平的重要设备和强大支柱。因此,PLC技术已成为电气自动化专业技能型人才必不可少的重要技能,《PLC技能培训》课程是《电气设备与PLC》课程实践教学环节,通过实践,可以帮助学生加深对理论知识消化吸收,提高PLC编程技能。 该课程设计的主要目的是通过运用三菱FX3U 系列PLC设计一控制系统,掌握PLC控制系统设计原则、方法、过程和具体设计步骤。通过设计还有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备及控制系统的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。 课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 二、PLC应用系统设计的内容和步骤 1.设计原则 (1)系统应最大限度地满足被控设备或生产过程的控制要求。 (2)在满足控制要求的前提下,应力求使系统简单、经济,操作方便。 (3)保证控制系统工作安全可靠。 (4)考虑到生产发展和生产工艺改进,在确定PLC容量时,应适当留有裕量,使系统有扩展余地。 2.设计内容 )拟定控制系统设计的技术条件。1(. (2)确定电气传动控制方案和电动机、电磁阀等执行机构。 (3)选择PLC的型号。 (4)编制PLC输入、输出端子分配表。 (5)绘制输入、输出端子接线图。 (6)根据系统控制要求,用相应的编程语言(常用梯形图)设计程序。 (7)设计操作台、电气柜及非标准电气元件。 (8)编写设计说明书和使用操作说明书。 3.设计主要步骤 (1)分析被控对象的控制要求,确定控制任务. (2)选择和确定用户I/O设备. (3)选择PLC的型号.

数字电路课程设计--洗衣机电路设计

数电课程设计论文 论文题目:洗衣机控制电路设计 课程名称数字电子技术基础课程设计学院 专业班级 学号 姓名 指导教师 2013年05月26 日

一、设计题目 (3) 1、设计的目的: (3) 二、设计任务与要求 (3) 三、设计思路 (3) 四、单元模块的设计 (4) 1、脉冲发生器 (4) 2、60s计数器模块 (5) 1)芯片说明 (5) 2)60进制异步减法计数器 (6) 3、定时模块 (7) 4、显示模块 (8) 1)CD4511 (8) 2)8段数码管 (9) 5、洗衣机正反转模块 (10) 6、其他模块 (10) 1)蜂鸣器 (10) 2)正反转停止 (11) 五、作品及元件清单: (13) 六、总结及心得 (13)

一、设计题目洗衣机控制电路设计 1、设计的目的: 1.)掌握洗衣机控制电路的设计、组装与调试方法。 2.) 熟悉数字和模拟集成电路的设计和使用方法。 二、设计任务与要求 设计制作一个洗衣机控制器,具有如下功能: 1)采用中小规模集成芯片设计洗衣机的控制定时器,控制洗衣机电机作如下运转: 2)洗涤电机用两个继电器控制。 3)用两位数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直至时间到而停机。洗涤定时时间在0~10min内用户任意设定。 4)当定时时间到达终点时,一方面使电机停转,同时发出音响信号提醒用户注意。 5)洗涤过程在送入预置时间后即开始运转。 三、设计思路 本定时器包括两级定时:一是总洗涤过程的定时;二是在总洗涤过程中包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循环直至总定时时间到为止。总的洗涤时间定时器负责整个电路的时间定时功能,按照设计要求,需要可以自由设定1-10分钟的洗涤时间。第二个定时是电机的正反转定时,要求按照2s暂停,8s正转,8s反转,并且不断的循环下去,直到定时时间到。 根据思路:我们的设计模块电路为:

51单片机自动洗衣机控制系统

项目一 洗衣机控制 一、项目内容及要求。 内容: 设自动洗衣机的控制要求是:启动后先开启进水阀进水,水位到达设定值后关闭,然后波轮按正转5秒、反转5秒,正、反转之间停3秒的规律不断循环转动,直至达到设定时间停止,之后打开排水阀放水,水放完后洗衣桶旋转脱水,1分钟后停止并关闭排水阀,洗衣过程结束。要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调,以1分钟为单位,排水时间定为1分钟。运动执行器用指示灯模拟,水位用开关模拟,试设计该洗衣机的控制电路。 要求: ⑴画出控制系统的框图,说明系统方案设计的思路、理由或依据; ⑵选择、确定组成控制系统的各个单元,并阐述选择确定的原则或依据; ⑶画出完整的电气原理图,介绍整体电路的工作原理、性能或特点; ⑷如采用单片机控制,给出单片机程序的流程图和清单,说明程序的工作原理。 ⑸制作实物电路,验证设计、制作是否正确。

二、题目分析及设计思路。 1.技术要点: ①要对自动洗衣机的整个流程要清楚,要清楚哪些步骤比较重要。对于洗衣机优先级最高的应该是暂停这个功能。因为一旦当洗衣机盖子被打开或者发生什么意外情况,洗衣机必须马上停止工作,这也是出于对安全问题的考虑。 ②洗衣机在洗衣的过程中波轮按正转5秒、反转5秒,正、反转之间停3秒的规律不断循环转动,直至达到设定时间停止。当到了设定的时间以后洗衣机便要进入下一个的洗衣流程。 ③设置洗衣机时间和水位必须要显示结果,方便用户查看。 ④单片机程序中的设计洗剂时间这些要做到比较精确,这样才能保证洗衣机能将衣服洗的比较干净。 ⑤水位设置的处理,因为考虑到身边没有水位传感器,这里我用限时的方法来完成低、中、高三个水位的设置。 ⑥进水和出水的电磁阀我这里都是用LED灯显示来代替了,进水和出水我会将对应的LED点亮来表示进水和出水。 三、方案设计说明。 本系统实现了对洗衣机整个洗衣过程的控制,包括用户参数输入、洗衣、排水和脱水等阶段。控制系统主要由电源模块、单片机控制系统和外部硬件电路构成。电源采用三端集成固定稳压器7805提供+5V

简单洗衣机控制基于单片机

1.用单片机控制洗衣机 通信1411 于波 2.项目名称:项目九:洗衣机控制 3.项目内容及要求; 内容: 设自动洗衣机的控制要求是:启动后先开启进水阀进水,水位到达设定值后关闭,然后波轮按正转5秒、反转5秒,正、反转之间停3秒的规律不断循环转动,直至达到设定时间停止,之后打开排水阀放水,水放完后洗衣桶旋转脱水,1分钟后停止并关闭排水阀,洗衣过程结束。要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调,以1分钟为单位,排水时间定为1分钟。运动执行器用指示灯模拟,水位用开关模拟,试设计该洗衣机的控制电路。要求: 1.画出控制系统的框图,说明系统方案设计的思路、理由或依据; 2.选择、确定组成控制系统的各个单元,并阐述选择确定的原则或 依据; 3.画出完整的电气原理图,介绍整体电路的工作原理、性能或特点; 4.如采用单片机控制,给出单片机程序的流程图和清单,说明程序 的工作原理。 5.制作实物电路,验证设计、制作是否正确。 4.题目分析及设计思路;(弄清楚要完成设计的技术要点、关键是什 么,有哪些需要注意的事项,可以采用哪些方法和手段,有哪些可用的方案或电路形式,等等。)

要完成本项目设计的技术要点是对洗衣机的整个运行流程深刻理解之后,再用程序将他表现出来,说以关键就在于程序的编写。需要注意的是运动执行器是用指示灯模拟,水位用开关模拟,还要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调所以大概就要用到6个发光二极管和8个复位按键,因此就要对整个流程控制的逻辑顺序有个很好的设计。对于程序的编写可以采用单片机或PLC (就目前所学而言),而对于这样一个简单的小程序就采用单片机即可。 5. 方案设计说明;(在充分调研、思考的基础上确定电路方案并说明 理由。画出电路的系统框图,说明系统框图的原理。) 一开始看到这个题目我就打算使用单片机来完成本设计,因为单片机使用方便,只需一个最小系统即可,在宿舍就可完成对程序的编写和修改,而PLC 价格昂贵且不能随时可用。就本项目采用单片机而言需要一个单片机最小系统,思维数码管及驱动,一组LED 和按键。 系统框图: 通过对八个按键的操作,可对水位设置,洗衣时间设置,开始洗衣,水位确定。四位数码管可显示水位的设置值,洗衣时间设置值,还有洗衣时间计时等。六个LED 有两个表示洗衣机波轮的正反转,两 MCU (AT89C51) 四位数码管 八 个 控 制 按 键 六个LED 指示灯

全自动洗衣机控制系统设计

分数:华南理工大学广州学院 课程设计任务书 题目:全自动洗衣机的PLC控制系统设计 课程:PLC技能培训课程设计 专业:自动化 班级: 姓名: 学号:

第一部分 任 务 书

《PLC技能培训》课程设计任务书 一、课程设计目的 编程序控制器(PLC)于20世纪60年代在美国诞生,在我国的发展与应用已有30多年的历史,现在它已经广泛应用于国民经济的各个工业生产领域,成为提高工业装备电气自动化水平的重要设备和强大支柱。因此,PLC技术已成为电气自动化专业技能型人才必不可少的重要技能,《PLC技能培训》课程是《电气设备与PLC》课程实践教学环节,通过实践,可以帮助学生加深对理论知识消化吸收,提高PLC编程技能。 该课程设计的主要目的是通过运用三菱FX3U 系列PLC设计一控制系统,掌握PLC 控制系统设计原则、方法、过程和具体设计步骤。通过设计还有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备及控制系统的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。 课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 二、PLC应用系统设计的内容和步骤 1.设计原则 (1)系统应最大限度地满足被控设备或生产过程的控制要求。 (2)在满足控制要求的前提下,应力求使系统简单、经济,操作方便。 (3)保证控制系统工作安全可靠。 (4)考虑到生产发展和生产工艺改进,在确定PLC容量时,应适当留有裕量,使系统有扩展余地。 2.设计内容 (1)拟定控制系统设计的技术条件。

相关主题
文本预览
相关文档 最新文档