当前位置:文档之家› 洗衣机控制电路汇总

洗衣机控制电路汇总

洗衣机控制电路汇总
洗衣机控制电路汇总

电子课程设计

——洗衣机控制电路

学院:电子信息工程学院

专业、班级:自动化12级

姓名:

学号:

指导教师:任青莲

2014年12月

目录

一、设计任务与要求-------------------------------------------------------3

二、总体框图-----------------------------------------------------------4

2.1、系统设计框图----------------------------------------4

2.2、设计总体电路---------------------------------------5

2.3、基本原理--------------------------------------------------5

三、选择器件---------------------------------------------5

3.1所选器件------------------------------------------------5

3.2器件介绍------------------------------------------------6

3.2.1、74LS192----------------------------------------------6

3.2.2、74LS194 ---------------------------------------------7

3.2.3、LED数码管-------------------------------------------8

3.2.4、异或门-----------------------------------------------8

四、功能模块---------------------------------------------------9

4. 1、一百进制分计数器和六十秒计数器的设计-- -----------------9

4.2、分调时电路----------------------------------------------9

4.3、循环控制电路-------------------------------------------13

4.4、控制开关---------------------------------------------------15 4.5、预置时间到洗衣机停止电路------------------------------16

4.6、洗衣机停止运行灯亮显示-------------------------------17

五、总体设计电路图----------------------------------------------18

5.1.总体电路图--------------------------------------------19

5.2.实验室实物图----------------------------------------20

六、总结-------------------------------------------------21

洗衣机控制电路

一. 设计任务与要求

1.设计一洗衣机电子定时器,来控制洗衣机的电机作如下运转:

20s)暂停反转暂停停止

2. 洗涤时间可在0~20min 内任意设定。

3. 用两位数码管显示洗涤的预置时间(分钟数),按倒计数方式对洗涤过程作计时显示,直到时间到而停止。

4. 当定时时间到达终点时,使电动机停止转动,同时发光二极管发光提醒用户注意。

5. 洗涤过程在送入预置时间后即开始运转。

二.总体框图

2.1 系统设计框图

设计总体框图如图1

图1 总体框图

2.2 设计总体电路

实现电机的正传、反转、暂停,分别用三个LED灯的状态来表示,显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,周期是60秒,所以需要分钟计数器和秒计数器。当分预置数时间到,电机停显示灯亮。

2.3基本原理

首先,从秒脉冲出来的信号,进入秒计数器进行秒计数,洗衣机运行前的置数工作需要秒计数器保持在预置数状态,这时我们通过开关置入洗涤时间,洗衣机就开始工作。运行过程中,当秒计数器变为零的时候,去分钟计数器上面借数,直到分计数端显示为00,电机停止工作,同时显示灯亮,且洗衣机洗涤时间为99分钟时洗衣机停止运行。

三.选择器件

3.1 器件选择表如表1所示。

表1 器件选择表

3.2器件介绍

U1

74LS192D

A 15

B 1

C 10D

9

UP 5QA 3QB 2QC 6QD 7DOWN

4~LOAD 11~BO 13~CO

12

CLR 14

图2 74LS192的管脚图

3.2.1、74LS192的功能表如下表2逻辑示意图所示:

表2 74LS192逻辑功能表

74LS192为可置数的同步十进制双时钟加减计数器,如图2所示它具有上升沿有效的加计数时钟端UP 和减计数时钟端DOWN ;该计数器具有异步清零端,当清零信号CLR 为高电平时,实现清零功能;该计数器还有异步计数功能,当置数信号LOAD 为低电平时,实现预置数;当计数器加计数,且计数值为9时,进位端CO 输出宽度等于加计数脉冲UP 的低电平脉冲;当计数器减计数,且计数值为0时,借位端BO 输出宽度等于减计数脉冲

DONW 的低电平脉冲。执行加数功能时,减计数端DOWN 接高低电平,计数脉冲由UP 端输入;执行减数功能时,加数端UP 接高电平,计数脉冲由减数端DOWN 。

3.2.2、74LS194

U9

74LS194D

A 3

B 4

C 5

D 6SL 7QA 15QB 14QC 13QD

12

SR 2~CLR 1S09S110CLK

11

图3 74LS194的管脚图

如图3所示A,B,C,D 为并行输入端,QA,QB,QC,QD 位并行输出端,Sr,Sl 分别为右移和左移串行输入端,因为该组合电路主要有四种工作模式,并行输出,右移输出,左移输出和保持,所以管脚S1,S0用来控制工作模式,CLR 为异步清零端,CLK 为时钟脉冲输入端,当CLR 接高电平(低电平有效),S1,S2接高电平时,它将输进的数平行再输出去。其逻辑功能如表3-3所示:

表3 74LS194功能表

3.2.3、LED数码管

图4 LED数码显示管

如图3-3所示其中4,3,2,1端为其数据输入端,其它各端口显示器默认有效。

3.2.4、异或门

异或门实现异或逻辑。

其符号如图5所示:

图5异或门的符号

其功能表(真值表)如表4所示

表4 异或门真值表

四.功能模块

4.1 一百进制分计数器和六十秒计数器的设计

(1)分、秒计数器的设计

一百进制分计数器和六十秒计数器的原理是一样的,不同的只是它们的输入脉冲和进制不同而已,实验中用四片74LS192来实现分计数和秒计数功能,运行中需要加计数和减计数两种功能,洗衣机的置数过程用加计数,洗衣机开始运转用减计数来倒计时。秒个位减计数功能的实现:UP端接到高电平,DOWN端接到秒脉冲上,秒计数十分位上的输入端B、C端接到高电平上,输入端置入0110,也就是6,秒十位的LOAD端和借位端BO连在一起,再把秒位的BO端和分个位的DOWN连在一起。当秒脉冲从秒位的DOWN端输入时,秒计数各位开始从9减到0,这时,它的借位端BO 会发出一个低电平到秒十位的

输入端DOWN,秒十位的计数从6倒计时直到0。当秒的高低位全为零的时候,秒十位的BO发出一个低电平信号,使DOWN接上低电平,置数端有效,下一个DOWN脉冲来到时,计数器进入下一个循环减计数工作中。

对于分计数来说,道理是一样的,把秒十位的借位端BO端接到分计数的DOWN端作为分计数的输入信号来实现秒从分计数上的借位同时接到秒十位的置数端,产生置数信号。其中,计数器工作中的清零端CLR端要处于低电平,置数端不置数时要处在高电平。把四个192的QA,QB,QC,QD接到显示电路显示时间。

(2)分、秒计数器的电路图

图6 分秒计数器电路图(1)

图7 分秒计数器电路(2)

4.2 分调时电路

(1)分调时电路的工作原理

调时电路是根据加减计数器74LS192的工作原理设计的,调时电路的利用192的加计数来实现的,当UP端工作时DOWN要接高电平,分十位的DOWN端接分个位的BO端,分个位接秒十位的BO端,当192不产生借位信号时BO端呈高电平,所以当电源打开时计数器还没有进行倒计时计数时BO端都为高电平,则通过开关的拨动相当于脉冲信号,使加计数工作进行置数功能,同时192的清零和置数端无效。如下所示原理图。

(2)原理图

图8 调时电路显示图

4.3 循环控制电路

(1)循环控制电路原理

因为正转,停,反转,停刚好一个周期是60s,且每种状态的运行时间恰好都是十秒的整数倍所以我们就考虑用秒十位上数的变化来控制洗衣机运行状态的显示。,在一个周期内59s~40s之间洗衣机处于正转状态,39s~30s之间洗衣机处于停止状态,29s~10s 之间洗衣机处于反转状态,9s~0s之间又是停止时间,所以我们让正转状态显示灯

X1=QCQB’QA+QCQB’QA’经化简得X1=QCQB’,即就是我们把QB端求反后再用一个两输入与门将其与QC连接送到正转显示灯上,当秒十位的输出为0101(5)或者是0100(4)时X1=1该灯亮,显示洗衣机处于正转状态,同理我们让停状态显示灯X2=QC’(QB⊙QA),即用一个两输入的同或门将QA和QB连接,再有一个两输入的与门将其输出与求反后的QC连接送到停滞状态显示灯上,当十位的输出为0011(3)或者0000(0)时X2=1,此时显示洗衣机处于停滞状态,同样我们也可以控制反转状态,这样,一个周期也就是六十秒完成后秒十位再向高位借数继续循环以上过程。如下图仿真实现正转,反转,和停。

(2)原理图

图9 正转灯泡闪烁截图

图10反转灯泡闪烁截图

图11停灯泡闪烁截图

4.4控制开关

(1)控制开关原理

根据实际设计洗衣机暂停和连续工作的开关,它由俩个2输入的与非门和一个3输入的与非门,还有一个单刀双掷开关构成,其中当开关拨到下面时洗衣机暂停工作,当开关拨到上面时洗衣机正常工作。俩个2输入与非门构成SR锁存器,左边的与非门接入低电平即左边的相当于R端右边的相当于S端右边对应的输出为Q端,当开关拨到下面时相当于R=0,S=1,输出置零,封锁3输入的与非门,则秒脉冲信号被封锁。计数器停止减计数。同理当开关拨到上面时相当于R=1,S=0,输出置一,此时如果3输入与非门的另一输入为高电平则,计数器正常工作,即洗衣机正常工作。当洗衣机停止运行时显示灯亮。

(2)电路图

图12控制开关电路

4.5预置时间到洗衣机停止电路

(1)原理

由实际设计知,当洗衣机在预置数时间到时洗衣机停止运转设计该电路。当秒脉冲无法输入时则洗衣机停止工作,所以用计数器的输出封锁秒脉冲。俩个192的输出信号分别接到8输入的或门上再接到三输入的与非门上,当置数时间到即分十位和个位显示00时或门输出低电平封锁秒脉冲信号。

(2)电路图

图13 预置时间到洗衣机停止控制电路图

4.6洗衣机停止运行灯亮显示

1.灯亮原理

实现灯亮显示有俩种情况。(1)当预置时间到时,送到发光二极管的阴极为低电平,而二极管的阳极接高电平,所以二极管亮。(2)当电源打开时为了更加方便人们调节时间则使分计数器开始显示00,因为当上店是192会自动在显示器上显示9,所以利用99产生置数信号使分计数在电源打开时显示00。同时利用99在电源打开时洗衣机先不工作,在置数的同时洗衣机才工作。所以用4输入的与非门接99信号使电机停。

(2)简易电路图

图14 洗衣机停止运行显示灯亮

五.总体设计电路图

1.对于置数,在分钟计数器的UP端到高电平之间各用一个弹跳开关接上,置数时,

由于置数是在洗衣机运行前,所以DOWN端接的为高电平,按动开关实现加置数。置数结束后,开关自动接高电平,即为实现减计数做准备。设计有俩个调试开关,所以对分的十位和个位都可以实现置数调节。开关J1按一下分十位会从0开始加一,同理J2按一下分个位也会从0开始加一。直到加到9时返回从0开始。置数的同时洗衣机转动。由秒十位产生的信号可以控制洗衣机的正转,反转,停。同时又有一个单刀双掷开关J3来实现洗衣机的暂停和连续。但是当分计数为00或是99时开关无论怎么办,洗衣机都停止工作。同时显示灯亮。

为了更人性化的实现洗衣机的功能,在电源打开时我们用分计数器的输出99信号产生置数信号,使显示器上的示数为00.即人们进行预置数时是从0开始向上加。一下为设计的总体电路图。

图15 总电路图

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

滚筒式全自动洗衣机电气部件及基础学习知识原理

一、滚筒式全自动洗衣机电气部件及原理 1、程序控制器 程序控制器简称为程控器,它可分为机械式程控器,机电混合式程控器和全电脑控器程控器三类。 机械式程控器是由一只5W,16极永磁单相罩极同步电机TM为动力,通过齿轮减速机构,带动一根快轴和一根慢轴运转,快轴和慢轴上均有若干个凸轮,凸轮在旋转过程中控制触点开关中间簧片动作,进而控制簧片是的触点闭合和断开。程控器每跳动一格,所有的触点变化一次,程控器所有触点的变化组合控制着洗衣机完成工作过程。如:XQG50-6006,XQG60-8006等。程控器代码为“T ”。 机电混合式程控器也是采用同步电机进行驱动,控制大电流器件工作,同时,采用单片机对电机及其它外围器件进行控制,完成洗衣机工作过程。如:XQG50-6010,XQG60-8010。 全电脑控制程控器是采用单片机对系统所有器件进行控制,同时用数码管或其它显示器件显示所有洗衣机运行过程中的相关信息,具有直观、美观,操作方便的特点。如:XQG50-1208,XQG50-1210,XQG50-1212等。 2、进水阀 进水阀是由电磁线圈、壳体、阀芯及安装板组成。当电磁线圈 上加上220V,50Hz电压时,其周围产生电磁场,从而牵引阀芯动作,打开阀门,开始进水;当线圈上没有电压时,阀芯会在弹簧的作用下,将阀门关上,停止进水。 进水阀有单头、双头和多头之分。 单头进水阀用于普通滚筒洗衣机,如XQG50-6006,XQG60-8006等; 双头进水阀用于具有烘干功能(一只阀上带有限流器,用来控投影烘干进水)或采用电子配水的滚筒洗衣机如:XQG50-6010,XQG50-1208,XQG50-1210,XQG50-1212,XQG60-8010等; 多头电磁阀主要用于带有热水进水的、具有烘干功能或采用电子配水的滚筒洗衣机。 3、水位开关 水位开关分为两种:机械式水位开关和电子式水位开关 机械式水位开关是通过内部气囊内空气的变化来改变开关的状态。当水注入洗衣机时,水位开关上连接的气管内的空气被封闭压缩,随着水位的提高,空气会被进一步压缩,压强会增大。当达到一定的值后,水位开关的常闭触点会被顶开,常

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

基于PLC全自动洗衣机控制电路设计

目录 一、设计课题: 全自动洗衣机控制电路设计。 二、课题内容: 全自动洗衣机运行框图及梯形图控制程序的编制,并进行硬件接线。 三、设计目的: 1.进一步掌握和巩固PLC控制的基本知识; 2.掌握PLC程序的设计及调试方法; 3. 熟练掌握PLC的硬件接线; 3.学会查阅有关专业资料及设计手册; 四、程序设计任务及要求 1.控制要求 (1)按下启动按钮及水位选择开关,开始进水直到高(中、低)水位,关水;(2)2秒后开始洗涤; (3)洗涤时,正转30秒,停2秒,然后反转30秒,停2秒; (4)如此循环5次,总共320秒后开始排水,排空后脱水30秒; (5)开始清洗,重复(1)-(4),清洗两遍; (6)清洗完成,报警3秒并自动停机;

(7)若按下停车按钮,可手动排水(不脱水)和手动脱水(不计数)。五、PLC机型 日本三凌公司的F系列PLC:FX1N系列。 六、控制全自动洗衣机的课题思路 按下电源开关,选择水位高低,当水位到达固定液位后洗衣机开始洗涤衣服。要使用P LC来实现洗衣机的全自动,它的输入设备主要有电源按钮,启动按钮,水位选择按钮(高、中、低),排水和脱水按钮等。输出设备主要有电源指示灯,水位选择按钮信号灯(高、中、低),进水、排水指示灯,洗涤电动机由控制要求,首先打开电源,用户根据衣服的多少和大小进行水位的选择,当水位达到固定液位,电动机开始正转、反转进行洗衣,第一遍洗衣 完成后自动排水、脱水开始再次洗涤,洗衣结束后蜂鸣器报警。七、全自动洗衣机控制程序流程图 全自动洗衣机控制流程图 八、全自动洗衣机I/O分配图

I/O地址分配表 九、PLC端子接线图 PLC外部接线图 十、全自动洗衣机控制梯形图 十一、程序运行功能简要分析 1.按下X1启动按钮,洗衣机开始运行; 2.如果按下X1,X2,X3其中下一个进水感应开关,选择高中低水位由行程开关X7、X10、X11控制水位高低,当水位到达开关快关闭合进入下一步骤。

简易洗衣机控制电路完美版

武汉理工大学《数字电子技术基础》课程设计说明书 1 Proteus软件简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。 1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发- 1 - 武汉理工大学《数字电子技术基础》课程设计说明书 生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、

全自动洗衣机电路原理图

全自动洗衣机电路原理图 全自动洗衣机就是将洗衣的全过程(泡浸-洗涤-漂洗-脱水)预先设定好N个程序,洗衣时选择其中一个程序,打开水龙头和启动洗衣机开关后洗衣的全过程就会自动完成,洗衣完成时由蜂鸣器发出响声。 全自动洗衣机由洗衣系统和控制电路组成。其控制电路分为机械和电脑型,电脑型控制电路是以单片机作为控制电路的核心。图1给出单片机Z86C09组成的全自动洗衣机的控制电路。 Ⅰ.自动洗衣机的洗衣程序 洗衣机面板上有4个按钮K1、K2、K5和K6。 K1用于水流选择,分两档:普通水流与柔和水流; K2用于洗衣周期选择,可以选择洗涤、漂洗和脱水三个过程; K5是暂停开关; K6是洗衣程序选择键。洗衣程序分为标准程序和经济程序。 洗衣机的标准洗衣程序是:洗涤——脱水——脱水——漂洗——脱水——漂洗——脱水。经济洗衣程序少一次漂洗和脱水过程。 1.涤过程 通电后,洗衣机进入暂停状态,以便放好衣物。若不选择洗衣周期,则洗衣机从洗涤过程开始。当按暂停开关键K5时,进入洗涤过程。首先进水阀FV通电,打开进水开关,向洗衣杨供水;当到达预定水位时,水位开关K4接通,进水阀断电关闭,停止进水;电机MO接通电源,带动波轮旋转,形成洗衣水流。电机MO是一个正反转电机,可以形成往返水流,有利于洗涤衣物。 2.脱水过程 洗涤或漂洗过程结束后,电机MO停止转动,排水阀MG通电,开始排水。排水阀动作时,带动离合器动作,使电机可以带动内桶转动。当水位低到一定值时,水位开关K4断开,再经过一段时间后,电机开始正转,带动内桶高速旋转,甩干衣物。 3.漂洗过程 与洗涤过程操作相同,只是时间短一些。 全部洗衣工作完成后,由蜂鸣器发出音响,表示衣物已洗干净。 Ⅱ.洗衣机控制器的硬件组成原理 洗衣机控制器由单片机Z86C09作为控制器的核心所构成,该控制器具有以下特点: (1)具有较强的抗干扰能力,当受到外部强干扰,程序出错时,可以自动使系统复位重新执行程序。 (2)采用无噪声、无电磁干扰的双向晶闸管作为控制元件,控制电磁阀和电机。 (3)具有欠压和过压保护,欠压时,控制器不工作;超压时,保护电路起作用。 (4)具有瞬间掉电保护功能,电源短时间停电后,电压恢复时,能够维持原运行程序的工作状态并继续完成洗衣程序。

洗衣机洗涤控制电路设计

洗衣机洗涤控制电路设计 姓名: 学号: 专业:集成电路工程 2010年11月17日

洗衣机洗涤控制电路设计 一、洗衣机洗涤控制电路的性能要求 1.强洗、标准、轻柔三种洗涤模式 强洗周期水流控制:正向电机接通5秒后,停2秒;再反向电机接通5秒,停2秒;然后又正向电机接通5秒。如此循环控制电机,直到洗涤定时结束。 标准洗周期水流控制:其过程与强洗周期水流控制相同,不同的是正向接通时间为3.5秒,停止时间为1.5秒,反向接通时间为3.5秒。 轻柔洗周期水流控制:正向接通时间为2.5秒,停止时间为1.5秒,反向接通时间为2.5秒。 2.三种洗涤定时 洗衣机洗涤定时可有三种选择:5分钟、10分钟、15分钟。 3.上电复位后的初始设定 初始设定为标准模式,定时时间为15分钟。如需修改可按模式选择按键和定时选择按键。每按一次按键转换一次,可多次进行循环选择。当某一次洗涤过程结束后,自动返回初始状态,等待下一次洗涤过程开始。 4.启/停控制 洗涤过程由启/停键控制。每按一次启/停键,状态转换一次。 5.洗涤定时精度 洗涤定时误差要求不大于0.1秒。 为简化设计洗衣机洗涤控制电路,只要求输出正向和反向的电机控制信号。 二、洗衣机洗涤控制电路的结构 根据上述对洗衣机洗涤控制电路的性能要求,可以画出如图1所示的结构框图。 该控制器由四大部分组成:主分频器、主控制器、洗涤定时器和水流控制器。

图1 洗衣机洗涤控制电路的结构框图 1.主分频器 主分频器用来产生1秒和0.1秒的时钟供主控制器使用。因DE2开发板上只有27MHz和50MHz时钟,故本设计为简单起见采用开发板自带的50MHz晶振,50000000分频后得到1HZ的时钟和5000000分频后得到10Hz的时钟。 图2 主分频器的结构 2.主控制器 主控制器的输入信号和输出信号如图1所示,分别叙述如下。 (1) 输入信号: reset:上电复位; start_stop:启/停按键输入; mode_sel:洗涤模式选择按键输入; 系统时钟输入(sysclk):50MHz主时钟; time_sel:洗涤定时选择按键输入; timer_down:定时到输入。 (2) 输出信号: s5min_out:5分钟定时控制; s10min_out:10分钟定时控制;

洗衣机控制电路数电实验

2010—2011学年第二学期《数字电子技术课程设计》报告 课题:简易洗衣机控制电路 专业班级:自动化 09-1 姓名:仇涛 学号: 09051107 设计日期: 2011年7月7日~10日

目录 一、设计任务及要求-------------------------------------------------------------------------------2 (一)具体要求--------------------------------------------------------------------------------2 (二)输入输出资源说明--------------------------------------------------------------------2 二、设计原理与方案--------------------------------------------------------------------------------4 (一)顶层设计方案---------------------------------------------------------------------------4(二)分频器设计方案------------------------------------------------------------------------5(三)计时器(倒计时)设计方案---------------------------------------------------------5 (四)显示器设计方案------------------------------------------------------------------------5(五)暂停设计方案---------------------------------------------------------------------------5 三、电路设计、仿真与实现-----------------------------------------------------------------------6(一)顶层的设计实现------------------------------------------------------------------------6(二)分频器的设计实现---------------------------------------------------------------------8

洗衣机电路设计方案样本

洗衣机设计平台 一、产品概述 随着人们的生活水平的日益提高, 洗衣机的使用也越来越普及, 当前全国的洗衣机的年产量已超过1000万台。洗衣机的分类较多, 根据不同的角度大致可分如下几类: 洗衣机从不同洗衣的原理来说可分为波轮洗衣机、滚筒洗衣机、以及搅拌式洗衣机。 洗衣机从结构上又可分为: 单缸和双缸洗衣机; 洗衣机从水流方式上又可分为: 瀑布水流、手搓水流、悬浮水流等; 洗衣机从电机的驱动方式上又可分为: 间接驱动和直接驱动( DD型洗衣机) ; 从洗衣机的电机的驱动电源的不同可分为: 普通洗衣机和变频洗衣机, 其中变频洗衣机又可分为: 直流变频洗衣机和交流变频洗衣机; 从洗衣机的显示方式来分有: LED灯显示、数码管显示、 LCD显示、以及VFD显示。 当前在国内波轮洗衣机占洗衣机用量的大部分, 滚筒洗衣机和搅拌式洗衣机在国内很少, 主要在欧美的家庭大量使用。但近几年滚筒洗衣机洗衣机在国内的市场呈快速增长。 二、主要功能 波轮洗衣机主要功能完成洗衣过程中的进水、排水、洗涤、漂洗、脱水、自动跳电、故障自检等功能。当前市场推出的健康型洗衣机还要完成消毒、杀菌功能。 滚筒洗衣机的主要功能除上面提到的波轮洗衣机的主要功能外, 还有给水加热和温控, 以及烘干衣物等功能。 三、产品硬件

1. 结构图及功能划分 1.电脑程控器的基本电路框图 交流11V+12V+5V 2.硬件功能模块 全自动洗衣机的电路包含220V交流负载驱动电路, IC和蜂鸣器工作用的低压直流电路及高低压共路的电路。电脑程控器一般由下列九部分电路组成: (1)单片机程控器控制中心 单片机IC是程控器的控制中心, IC的ROM中固化了预定程序, 一般均采用8位微处理器( CPU) 的IC, 它由算术逻辑单元ALU、可掩膜程序存储器ROM、数据存储器RAM、输入输出接口以及控制计时、定时逻辑单元等组成。IC工作时, 对各功能键进行扫描处理, 根据功能键设置情况输出响应的控制信号。 (2) 直流电源电路 电气控制系统一般需两种直流电源: +5V和+12V, 其中+5V作为IC、 LED

数电课程设计报告 洗衣机控制电路

一.设计总体思路,基本原理和框图 1.设计总体思路 课程设计要求实现电机的正传、反转、暂停,用两个LED灯的三种状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,正好一个60秒减计数器可以构成一个循环结构。循环的总时间可以用一个外围100进制减计数器解决,并用两个数码管显示时间。这样一个电路的主体电路就构造出来了,然后一步步实现其具体的功能。用一个74LS74触发器控制整个电路的停止和清零以及连接蜂鸣器计构成报警模块。信号发生器仿真时就直接用方波信号代替,安装电路的时候就直接用脉冲信号。设置两个开关以控制电路的启动和随时停止。现在大体上就这样计划,下面说说基本原理。 2.基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。 3.系统设计框图

二.仿真设计: (一).单元电路的设计 1.分、秒计数器模块 一百进制分计数器和六十秒计数器的原理是一样的,不同的只是它们的输入脉冲和进制不同而已,我们用四片74LS192来实现分计数和秒计数功能,我们要的只是减计数,所以我们把它的UP端接到高电平上去,DOWN端接到秒脉冲上;十分秒位上的输入端B、C端接到高电平上,即从输入端置入0110(十进制的6),秒十位的LD端和借位端BO联在一起,再把秒位的BO端和十秒位的DOWN 联在一起。当秒脉冲从秒位的DOWN端输入的时候秒计数的192开始从9减到0;这时,它的借位端BO 会发出一个低电平到秒十位的输入端DOWN,秒十位的计数从6变到5,一直到变为0;当高低位全为零的时候,秒十位的BO发出一个低电平信号,DOWN为零时,置数端LD等于零,秒十位完成并行置数,下一个DOWN脉冲来到时,计数器进入下一个循环减计数工作中。 对于分计数来说,道理也是一样的;只是要求,当秒计数完成了,分可以自动减少,需要把秒十位的借位端BO端接到分计数的DOWN端作为分计数的输入信号来实现秒从分计数上的借位。当然,这些计数器工作,其中的清零端CR 要处于低电平,置数端不置数时要处于高电平。这是一个独立工作的最高可以显示101分钟的计时器。把四个192的QA/QB/QC/QD都接到外部的显示电路上就可以看到时间的显示了。作为洗衣机控制器的一个模块,它还得有一定的接口来和其他的模块连接在一起协调工作,分计数的清零端LD是接在一起的;秒的清零端LD又是接在一起的,所以当要从外部把它们强制清零时,可以用一个三极管(NPN)或者两个或门就可以实现该功能。还有我们可以利用分计数的UP 端来进行外部置数,当把它们各接到一个低触发(平时保持高电平,外部给一个力就输入一个低电平)的脉冲上就可以实现从0-9的数字输入。

简易洗衣机控制器的设计与制作

题目:简易洗衣机控制器的设计与制作 专业班级:_______________ 班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下: 1. 定时到 洗衣机电机的工作顺序: 启动---- >正转20s -- >暂行10s --- >反转20s ---- >暂行10s --- >停止

定时未到 2.用4个LED模拟洗衣机的动作状态:LED1?LED4右移循环点亮表示正转,LED1?LED4左移循环点亮表示反转,LED1?LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发岀音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二?设计要求: 1.设计思路清晰,给岀整体设计框图; 2.设计各单元电路,给岀具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写岀设计报告; 主要设计条件 1.提供直流稳压电源、信号源、示波器等仪器; 2.提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3.提供电子综合实验装置。

说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图; 5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一?星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉

全自动洗衣机控制电路

全自动洗衣机控制电路 摘要自19世纪中叶,美国人史密斯研制出世界上首台洗衣机至今,洗衣机的发展已经历了一个多世纪。1910年世界上第一台电动洗衣机问世,标志着人类家务劳动自动化的开始。在数字技术风行的今天,大多数的家用电器实现了数字化控制。1922年世界上第一台搅拌式洗衣机在美国诞生。1937年世界上第一台全自动滚筒式洗衣机投放市场。1957年三洋公司推出世界上第一台涡流式波轮洗衣机。从此,确立了搅拌式、滚筒式和波轮式三种工作方式洗衣机三足鼎立天下的局面。 关键词全自动洗衣机定时器 1 引言洗衣机是一种在家庭中不可缺少的家用电器,发展非常快,全自动式洗衣机因使用方便得到大家的青睐,全自动即进水、洗涤、漂洗、甩干等一系列过程自动完成。它的发明和应用使人们的洗衣工作变得省时又省力,很好地缓解了人们在家务劳动方面的压力。而在家电市场竞争日益激烈和利润下降的今天,各大家电生产厂商均致力于开发出能满足用户各种要求的智能家电产品,并努力降低生产成本以增强竞争力。 2 方案设计 洗衣机的主要控制电路是一个定时器,它按照一定制洗涤程序控制电动机 作正向和反向转动.定时器可以采用机械式,也可采用电子式.这里采用电 子定时器来控制洗衣机的运转(图A)。

图A 定时器来控制洗衣机的运转 2.1 设电动机用k 1和k 2两个继电器控制,继电器驱动电路如下图B 所示。洗涤定时间在0~10min 内由用户任意设定。 2.2 用两位数码管显示洗涤的预置时间,按倒计时方式对洗涤过程作计时显示,直至时间到而停机。 如果定时时间到,则停机并发出音响信号。 2.3 当定时时间到达终点时,一方面使电动机停转,同时发出音响信号提醒用户注意。 2.4 洗涤过程在送入预置时间后开始运转。 图B 洗衣机电动机驱动电路 3 总体方案与工作原理 3.1 本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,二是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循环直至所设定的总定时时间到为止;依据上述要求,可画出总定时T 和电动机驱动信号Z1、Z2的工作波形如下图C 所示。 正转(10S) 暂停(10S) 反转(10S) 暂停(10S) 停止 定时未到 定时启动 定时到 Z 111Z 2 R b1 R b2 VT 1 3DG12 VT 2 3DG12 VD 1 VD 2 K 2 ~220 K 1 正转 反转

洗衣机自动控制电路设计与实现

武汉理工大学《数字电子技术基础》课程设计 课程设计任务书 学生姓名:杜炳谦专业班级:通信1006 指导教师:付琴工作单位:信息工程学院 题目: 洗衣机自动控制电路设计与实现 初始条件: 通过学到的知识,利用定时器、数码管、LED等数字电路器实现系统设计。要求完成的主要任务: 1.电路原理说明以及原理图的设计 2.设计电路的仿真,并给出仿真结果及分析 3.设计报告的撰写 时间安排: 指导教师签名: 2012年 6月 7 日系主任(或责任教师)签名: 2012年 6月 7 日

目录 目录........................................................................................................................ I 摘要.................................................................................................................... I Abstract ................................................................................................................. I I 1.引言 (1) 2.设计要求和实现的功能 (2) 3.设计原理与方案 (3) 3.1 方案选择 (4) 4.单元电路的设计 (5) 4.1秒脉冲发生器 (5) 4.2 分秒计数器 (6) 4.3 控制电路部分 (10) 4.4循环电路 (11) 4.5 总电路图 (13) 5.电路仿真结果 (14) 5.1 秒脉冲发生器 (14) 5.2 显示电路仿真结果 (15) 6心得体会 (19) 参考文献 (19) 附录 (21)

洗衣机控制电路

一、概述 本设计要求完成洗衣机控制电路的设计。控制洗衣机按定时启动、正转20秒、暂停10秒、反转20秒、暂停10秒的洗涤模式不停的循环,到达定时时间后停止。并要求有数码管显示时间,LED显示状态,声音报警。 通过以上要求,洗衣机洗涤模式是以60秒钟即一分钟作为循环。计时方式是通过预置时间定时,因而初步设想使用一个六十进制倒计时电路作为核心控制。预置时间以分为单位,则还需要分计时器,并且要能预置时间。同时,时间的计时按秒来进行,则需要用一个妙信号发生器。最后,用两位数码管作为时间显示电路,三个LED灯作为状态显示电路,蜂鸣器作为报警电路。根据以上分析,设计电路基本框图入下: 二、方案论证 计时器芯片选择 采用74LS192芯片实现 中规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加减计数器,它采用8421码二·十进制编码,并具有直接清零、置数。加/减计数功能,为双时钟芯片,计数采用DOWN时钟,而置数可以采用UP时钟,因而置数显得十分简单,是很好的选择。

显示电路选择 显示电路用单位的数码管和相适应的显示译码器,这儿采用共阴极的单位数码管,应用十分简单。 状态显示电路 使用三个不同颜色的数码管来表示不同的洗涤状态,通过74LS138作为译码器,来实现该功能。 经过以上分析,计时电路采用四片74LS192实现,两片组成六十进制计数器实现秒信号计数,当计满六十秒后向前借位。另外两片构成一百进制计数器,来计数秒的借位信号,同时实现预置数功能。数码管、报警电路和LED灯分别与逻辑电路进行组合,接到74LS192的输出,以完成时间显示、报警和状态显示,洗衣机控制则使用74LS138作为译码输出进行不同的组合,完成控制。 其中显示电路实际连接为: 图1 显示电路具体图 三、电路设计 计时电路 我们用四片74LS192来实现分计数和秒计数功能,对于秒信号,我们要的只是减计数,所以我们把两片秒计数的74LS192芯片的UP端接到高电平上去,DOWN端接到秒脉冲上;十分秒位上的输入端B、C端接到高电平上,即从输入端置入0110(十进制的6),秒十位的LD端和借位端BO联在一起,再把秒位的BO端和十秒位的DOWN联在一起。由图3.2.3可知,LD低电平有效,产生借位信号时,BO也是低电平有效。当秒脉冲从秒位的DOWN端输入的时候秒计数的74LS192开始从9减到0;这时,它的借位端BO 会发出一个低电平到秒十位的输入端DOWN,秒十位的计数从6变到5,一直到变为0;当高低位全为零的时候,

全自动洗衣机原理与维修

全自动洗衣机原理与维修 随着人们生活水平的提高,人们希望能腾出更多的时间去学习、工作。全自动洗衣机能够自动完成预洗、洗涤、漂洗、脱水甚至烘干,洗衣全部过程不用人工参与。许多家庭都选择了全自动洗衣机。因此学习全自动洗衣机的维修方法就显得极为重要。 知识目标 (1)掌握波轮式全自动洗衣机的工作原理。 (2)掌握滚筒式全自动洗衣机的工作原理。 技能目标 (1)掌握波轮式全自动洗衣机的结构和主要元器件的作用。 (2)掌握滚筒式全自动洗衣机的结构和主要元器件的作用。 (3)掌握波轮式全自动洗衣机的常见故障的维修方法。 (4)掌握滚筒式全自动洗衣机的常见故障的维修方法。 任务一认识波轮式全自动洗衣机的结构 任务分析 本单元主要学习掌握波轮式全自动洗衣机主要专用部件的作用和工作原理。同时认识波轮全自动洗衣机的结构。 基本知识 一、波轮全自动洗衣机的洗衣原理 全自动洗衣机可以完成洗涤、漂洗及脱水过程的自动转换,通常采用套桶方式,即将离心桶(内桶)和盛水桶(外桶)同轴地套在一起,故又称为套桶式洗衣机。 全自动洗衣机在程控器的控制下自动完成洗衣的全过程。洗涤时,程控器将进水阀打开至水位达到设定值,电动机带动波轮旋转,使水及衣物完成洗涤或漂洗过程;排水时,程控器打开排水阀,将洗涤液或水排出;脱水时,程控器仍将排水阀打开,并使离合器动作,完

成机械转换,电动机带动离心桶高速旋转,完成脱水过程。 二、进水电磁阀的工作原理 进水电磁阀的作用主要为控制自来水进水,为洗衣机提供适量的洗涤、漂洗用水。进水电磁阀实物图和结构图如图7-1所示。进水电磁阀的开关主要由阀内的线圈控制动铁芯来完成。如果线圈不通电,这时打开自来水龙头,水不会流入洗衣机;当线圈通电后,阀被打开,自来水通畅地流入洗衣机。 出水口 电磁铁 接线端子 阀体 进水口 橡胶阀 接线端子 线圈绝缘层 线圈 阀心骨架 弹簧 阀芯 壳体 塑料导阀 导管 塑料限流垫 橡胶限流垫 过滤网 实物图 结构图 图7-1 进水电磁阀实物图和结构图 进水电磁阀基本结构主要由一个螺管电磁铁和橡胶阀构成。其工作原理是,电磁铁线圈通电后,形成磁场,吸引铁质阀芯上移,离开膜片,水流导通。电磁铁线圈失电后,在复位弹簧及重力作用下,阀芯下沉压紧膜片堵住水道,停止向洗衣机内注水。进水电磁阀的进水口一般有一个过滤网,以防污垢堵塞进水电磁阀橡胶阀。 三、水位开关控制原理 水位开关又叫做水位压力开关、水位传感器、水位控制器,它是利用洗衣桶内水位高低潮产生的压力来控制触点开关的通断,结构如图7-2所示。水位开关用塑料软管与盛水桶下侧的储气室口相连接。当向盛水桶内注水时,随着水位的升高,储气室的空气被压缩,并由塑料软管将压力传至水位开关。随着气压逐渐升高,水位压力开关内的膜片变形并推动动触点与常闭触点分离,常闭触点与公共触点迅速断开,常开触点与公共触点闭合,从而将水位已达到设定值的信号送至程控器或将连接进水阀电磁线圈的电路断开,停止进水。当洗衣机排水时,随着盛水桶水位的下降,储气室及塑料软管内的压力逐渐减小,当气体压力小于弹簧的弹性恢复力时,常开触点与公共触点迅速断开,常闭触点与公共触点闭合,恢复到待检测状态。 旋转水位开关的旋钮选择水位,就是旋转凸轮,通过改变压力开关凸轮的位置可改变恢复弹簧的弹性力,进而改变橡胶膜片变形所需气体的压力,改变水位的设定值。

数字电路课程设计--洗衣机电路设计

数电课程设计论文 论文题目:洗衣机控制电路设计 课程名称数字电子技术基础课程设计学院 专业班级 学号 姓名 指导教师 2013年05月26 日

一、设计题目 (3) 1、设计的目的: (3) 二、设计任务与要求 (3) 三、设计思路 (3) 四、单元模块的设计 (4) 1、脉冲发生器 (4) 2、60s计数器模块 (5) 1)芯片说明 (5) 2)60进制异步减法计数器 (6) 3、定时模块 (7) 4、显示模块 (8) 1)CD4511 (8) 2)8段数码管 (9) 5、洗衣机正反转模块 (10) 6、其他模块 (10) 1)蜂鸣器 (10) 2)正反转停止 (11) 五、作品及元件清单: (13) 六、总结及心得 (13)

一、设计题目洗衣机控制电路设计 1、设计的目的: 1.)掌握洗衣机控制电路的设计、组装与调试方法。 2.) 熟悉数字和模拟集成电路的设计和使用方法。 二、设计任务与要求 设计制作一个洗衣机控制器,具有如下功能: 1)采用中小规模集成芯片设计洗衣机的控制定时器,控制洗衣机电机作如下运转: 2)洗涤电机用两个继电器控制。 3)用两位数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直至时间到而停机。洗涤定时时间在0~10min内用户任意设定。 4)当定时时间到达终点时,一方面使电机停转,同时发出音响信号提醒用户注意。 5)洗涤过程在送入预置时间后即开始运转。 三、设计思路 本定时器包括两级定时:一是总洗涤过程的定时;二是在总洗涤过程中包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循环直至总定时时间到为止。总的洗涤时间定时器负责整个电路的时间定时功能,按照设计要求,需要可以自由设定1-10分钟的洗涤时间。第二个定时是电机的正反转定时,要求按照2s暂停,8s正转,8s反转,并且不断的循环下去,直到定时时间到。 根据思路:我们的设计模块电路为:

简单洗衣机控制基于单片机

1.用单片机控制洗衣机 通信1411 于波 2.项目名称:项目九:洗衣机控制 3.项目内容及要求; 内容: 设自动洗衣机的控制要求是:启动后先开启进水阀进水,水位到达设定值后关闭,然后波轮按正转5秒、反转5秒,正、反转之间停3秒的规律不断循环转动,直至达到设定时间停止,之后打开排水阀放水,水放完后洗衣桶旋转脱水,1分钟后停止并关闭排水阀,洗衣过程结束。要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调,以1分钟为单位,排水时间定为1分钟。运动执行器用指示灯模拟,水位用开关模拟,试设计该洗衣机的控制电路。要求: 1.画出控制系统的框图,说明系统方案设计的思路、理由或依据; 2.选择、确定组成控制系统的各个单元,并阐述选择确定的原则或 依据; 3.画出完整的电气原理图,介绍整体电路的工作原理、性能或特点; 4.如采用单片机控制,给出单片机程序的流程图和清单,说明程序 的工作原理。 5.制作实物电路,验证设计、制作是否正确。 4.题目分析及设计思路;(弄清楚要完成设计的技术要点、关键是什 么,有哪些需要注意的事项,可以采用哪些方法和手段,有哪些可用的方案或电路形式,等等。)

要完成本项目设计的技术要点是对洗衣机的整个运行流程深刻理解之后,再用程序将他表现出来,说以关键就在于程序的编写。需要注意的是运动执行器是用指示灯模拟,水位用开关模拟,还要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调所以大概就要用到6个发光二极管和8个复位按键,因此就要对整个流程控制的逻辑顺序有个很好的设计。对于程序的编写可以采用单片机或PLC (就目前所学而言),而对于这样一个简单的小程序就采用单片机即可。 5. 方案设计说明;(在充分调研、思考的基础上确定电路方案并说明 理由。画出电路的系统框图,说明系统框图的原理。) 一开始看到这个题目我就打算使用单片机来完成本设计,因为单片机使用方便,只需一个最小系统即可,在宿舍就可完成对程序的编写和修改,而PLC 价格昂贵且不能随时可用。就本项目采用单片机而言需要一个单片机最小系统,思维数码管及驱动,一组LED 和按键。 系统框图: 通过对八个按键的操作,可对水位设置,洗衣时间设置,开始洗衣,水位确定。四位数码管可显示水位的设置值,洗衣时间设置值,还有洗衣时间计时等。六个LED 有两个表示洗衣机波轮的正反转,两 MCU (AT89C51) 四位数码管 八 个 控 制 按 键 六个LED 指示灯

全自动洗衣机系统控制电路分析

系统控制电路分析 电路中选用AT89C205的P1.0-P1.3共4根I/O线通过4块SP1110型固态继电器,分别直接驱动洗衣机的进水阀、排水阀,以及电动机的正反转。SP1110是一种交流继电器,内置发光二极管和光触发双向可控硅,10-50mA输入电流即可使双向可控硅完全导通,输出端通态电流为3A(平均值),浪涌电流15A(不重复)。选用交流固态继电器,既简化了电路,又使强弱电完全隔离,保证了主板的安全。 图中的74LS05为反相器,用作中间缓冲器,其中4个通道分别驱动4个SP1110固态继电器,另外2个通道用于驱动指示灯LED5和LED6。 图中的74LS139为双“2-4”译码器,选用它可解决CPU中I/O线数据不足的问题。从功能要求可知,洗衣机有4种工作程序,需要用4种不同的显示来区别。74LS139只要CPU的P3.0和P3.1两根线即可提供4种不同的驱动。其逻辑关系是:P3.0、P3.1为“11”时,LED1亮,指示标准程序;为“10”时,LED2亮,指示经济程序;为“01”时,LED3亮,指示单独程序;为“00”时,LED4亮,指示排水程序。 洗衣机的暂停功能(暂停键S6)、安全保护与防震功能(盖开关S3)均采用中断方式处理。这两个分别对应CPU的外部中断0(P3.2引脚)和外部中断1(P3.3引脚)。中断请求信号通过TC4013BP双D触发器的两个Q端,分别加到CPU的P3.2和P3.3,并由触发器锁存,直到CPU响应结束为止。开盖(安全保护)或不平衡(防震动)中断信号(都会引起盖开关S3的闭合),通过由V1和V2组成的反相器送至TC4013BP的CLK端,经触发器的Q端加到CPU的P3.3。 为了充分利用CPU的I/O口线,P3.4和P3.5采用分时复用技术,每根线具有2个功能。在洗衣机未进入工作状态或洗衣机处于暂停状态期间,P3.4为输入线,用于监测起动键的状态;当起动键按下时,洗衣机即进入工作状态或从暂停状态恢复到原来的工作状态;当洗衣机暂停导致CPU响应中断时,P3.4为输出线,待中断处理完毕时,由P3.4发信将D触发器输出的中断请求信号撤销。在洗衣机进水或排水期间,P3.5被用作输出线,用于监测水位开关状态,为CPU 提供洗衣机的水位信息;在洗衣机高速脱水期间,当发生开盖或不平衡导致CPU 响应中断时,P3.5为输出线,待中断处理结束后,由P3.5发信将D触发器输出的中断请求信号撤销。 CPU的P3.7用于驱动蜂鸣器发出各种报警声音。CPU的第4、第5脚外接6MHz 的晶振。第1脚通过10uF电解电容接到+5V电源,可实现电自动复位,S7为强制复位键。 洗衣机的强弱洗涤可通过S1键进行循环选择。S1键还具有第二功能,即当洗衣机发生故障转入报警程序后,按下S1键可以退出报警状态,回到初始待命状态。洗衣机工作程序可通过S2键循环选择。 洗衣机的工作状态可通过LED7-LED9进行显示。脱水期间,系统在响应开盖或不平衡中断后,CPU采用软件查询的方式,通过P1.6脚对盖开关进行监测,以确定洗衣机是否继续继续脱水操作。 洗衣机的电气控制电路如图所示

相关主题
文本预览
相关文档 最新文档