当前位置:文档之家› 基于verilog的边沿检测电路

基于verilog的边沿检测电路

基于verilog的边沿检测电路
基于verilog的边沿检测电路

在时序逻辑电路中,少不了“沿”。always块敏感信号中可以通过关键字posedge和negedge来提取信号的上升沿和下降沿。但是如果在程序块内部需要某个信号的上升沿或者下降沿、或者对于按钮触发的模块,由于按钮按下的持续时间很长,相当于一个电平信号,而不是脉冲信号,这时就需要边沿检测电路将其处理成单时钟周期宽度的脉冲信号。下面介绍几种基于verilog的边沿检测电路。(软件平台为quartus11.1,ModelSim-Altera 10.0)

方法1:

①Verilog源码

module detecEdge (clk,rst_n,din,pos_clk,neg_clk,doub_clk);

input clk,rst_n,din;

output pos_clk,neg_clk,doub_clk;

reg ctl_this, ctl_last;

always @(posedge clk or negedge rst_n) //同步复位(注意与异步复位的区别)

begin

if(!rst_n) //低有效

begin

ctl_this <= 0;

ctl_last <= 0;

end

else begin //注意非阻塞赋值的作用

ctl_this <= din; //din的当前时钟值

ctl_last <= ctl_this; //din的前一个时钟值

end

end

//assign pos_clk = (ctl_last == 0 && ctl_this == 1)? 1:0; //上升沿检测

//assign neg_clk = (ctl_last == 1 && ctl_this == 0)? 1:0; //下降沿检测

assign pos_clk = ctl_this & (!ctl_last); //上升沿检测

assign neg_clk = ctl_last & (!ctl_this); //下降沿检测

assign doub_clk = ctl_last ^ ctl_this; //双边沿检测

endmodule

②RTL综合图

③消耗资源

④Modelsim仿真

testbench源码:

`timescale 1ns/1ps

module testbench;

reg clk, rst_n, din;

wire pos_clk, neg_clk, doub_clk;

//调用detecEdge模块

detecEdge inst(

.clk(clk),

.rst_n(rst_n),

.din(din),

.pos_clk(pos_clk),

.neg_clk(neg_clk),

.doub_clk(doub_clk)

);

initial //输入信号初始化

begin

rst_n = 0;

clk = 0;

din = 0;

#50;

rst_n = 1;

end

always #100 din = ~din; //输入激励

always #5 clk = ~clk; //系统时钟endmodule

仿真波形:

由仿真结果知,当din上升沿到来时,pos_clk输出一个脉冲信号(宽度为1个clk),同理,当din下降沿到来时,neg_clk输出一个脉冲信号,doub_clk在din的上升沿和下降沿都输出脉冲信号。

方法2:

①Verilog源码

module detecEdge(clk,rst_n,din,pos_clk,neg_clk,doub_clk);

input clk;

input rst_n;

input din;

output pos_clk;

output neg_clk;

output doub_clk;

reg [2:0] ctl_r; //din状态寄存器

always @(posedge clk or negedge rst_n) //注意异步复位与同步复位

if(!rst_n) //低有效

begin

ctl_r <= 3'b000;

end

else begin

ctl_r <= {ctl_r[1:0], din};

end

assign pos_clk = (!ctl_r[2]) & ctl_r[1]; //上升沿检测

assign neg_clk = (!ctl_r[1]) & ctl_r[2]; //下降沿检测

assign doub_clk = ctl_r[2] ^ ctl_r[1]; //双边沿检测

endmodule

或者:

module detecEdge(clk,rst_n,din,pos_clk,neg_clk,doub_clk);

input clk;

input rst_n;

input din;

output pos_clk;

output neg_clk;

output doub_clk;

reg ctl_r0, ctl_r1, ctl_r2;

always @(posedge clk or negedge rst_n)

if(!rst_n) //低有效

begin

ctl_r0 <= 1'b0;

ctl_r1 <= 1'b0;

ctl_r2 <= 1'b0;

end

else

begin

ctl_r0 <= din;

ctl_r1 <= ctl_r0;

ctl_r2 <= ctl_r1;

end

assign pos_ clk = (!ctl_r2) & ctl _r1;

assign neg_ clk = (!ctl_r1) & ctl_r2;

assign doub_clk = ctl_r1 ^ ctl_r2;

②RTL综合图

③消耗资源

④modelsim仿真

testbench源码:

`timescale 1ns/1ps

module testbench;

reg clk, rst_n, din;

wire pos_clk, neg_clk, doub_clk;

//调用detecEdge模块

detecEdge inst(

.clk(clk),

.rst_n(rst_n),

.din(din),

.pos_clk(pos_clk),

.neg_clk(neg_clk),

.doub_clk(doub_clk)

);

initial //输入信号初始化

begin

rst_n = 0;

clk = 0;

din = 0;

#50;

rst_n = 1;

end

always #100 din = ~din; //输入激励

always #5 clk = ~clk; //系统时钟

endmodule

仿真波形:

注意与方法1的仿真波形的区别。(方法2延迟了1个时钟周期)。

XFP模块电路设计

XFP模块电路设计 一、应用要求 1、多速率应用:TELECOM(SONET OC-192 and G.709 “OTU-2”) DATACOM(10 Gb/s Ethernet and 10 Gb/s Fibre Channel) 2、XFI(9.95Gb/s~11.7Gb/s)高速信号可以在改良的FR4电路板上传输 300mm或普通的FR4电路板上传输200mm。 3、热插拔智能化,能够提供模块的实时工作状态的监视值 二、指标要求 3、封装结构:按照XFP-MSA的要求 三、方案选择 1、发射器件的选择:采用公司成熟的TO封装工艺开发的发射器件。 2、接收组件的选择:采用公司成熟的TO封装工艺开发的接收组件。

四、电路设计 1、原理框图 LOS Data In TxDis SCL SDA TxFault

2、原理图设计 3、PCB设计 (1)传输线设计: 在PCB设计中采用微带线来进行信号传输,保证高深信号在PCB上传输不发生波形畸变,我们通过AppCAD模拟可得到微带线的宽度和各微带线之间的间距。在10Gb/s的工作速率下,信号波长已经可以与器件尺寸相比拟,基于电路性能、器件选择和电磁兼容等因素的考虑,必须以网络散射分析(S参数)、信号完整性分析、电磁仿真分析、电路仿真分析等手段,来综合考量实际电路系统的工作性能。对电路板、元器件进行结构性电磁仿真并精确提取相应的SPICE电路模型参数,作为电路设计的依据,以此有效减小电感器件在高频设计应用中的误差影响。而且现在国外的产品技术参数大多包含有S参数,通常可用于精确的高频应用分析。信号传输微带线的等效分析见下图。

高速电路设计的经典案例

该文章版权归 smarteebit 所有。若有疑问,请联系 songmin2@https://www.doczj.com/doc/4016633223.html,
高速数字设计的经典案例若干则
【摘要】 随着这些年半导体工艺突飞猛进的发展, “高速数字设计”对广大硬件工程师来说,已经不再是一 个陌生的词。从航空、雷达到汽车电子,从无线通信到有线接入,甚至在一些低端的嵌入式系统上,高 速数字电路都已经在大行其道。 目前行业内已经有不少关于高速数字电路理论的好文章, 笔者就不在这 上面掺和了。 本文着眼于理论和实际相结合, 所用的素材都来自笔者亲历过的案例, 相信活生生的事实, 比空洞的理论更有说服力,也希望能使入行不久的硬件工程师们得到他们想要的信息,今后少走弯路。 由于不会对理论作过多的阐述, 因此, 本文的阅读对象应该具有一点点高速数字设计的理论基础, 请知。 【关键词】 高速数字设计 高速数字电路 案例
1 信号完整性
什么词汇在高速数字设计中出现得最多?对了,SI(Signal Integrity),也就是信号完整性。信 号完整性问题的表现形式多种多样,主要有如下种类:
图 1 过冲(OVERSHOOT)
图 2 振铃(RING)
图 3 非单调性(NON MONOTONIC)
过冲: 当较快的信号沿驱动一段较长的走线, 而走线拓扑上又没有有效的匹配时, 往往会产生过冲。 过冲带来的问题主要是“1”电平高于接收端器件的输入最大电压值(VIHmax),或“0”电平低于接收端 器件的输入最小电压值(VILmin),这样可能给器件带来潜在的累积性伤害,缩短其工作寿命,从而影响 产品的长期稳定性。
Smarteebit
Page 1 of 17

几种常用边缘检测算法的比较

几种常用边缘检测算法的比较摘要:边缘是图像最基本的特征,边缘检测是图像分析与识别的重要环节。基于微分算子的边缘检测是目前较为常用的边缘检测方法。通过对Roberts,Sobel,Prewitt,Canny 和Log 及一种改进Sobel等几个微分算子的算法分析以及MATLAB 仿真实验对比,结果表明,Roberts,Sobel 和Prewitt 算子的算法简单,但检测精度不高,Canny 和Log 算子的算法复杂,但检测精度较高,基于Sobel的改进方法具有较好的可调性,可针对不同的图像得到较好的效果,但是边缘较粗糙。在应用中应根据实际情况选择不同的算子。 0 引言 边缘检测是图像分析与识别的第一步,边缘检测在计算机视觉、图像分析等应用中起着重要作用,图像的其他特征都是由边缘和区域这些基本特征推导出来的,边缘检测的效果会直接影响图像的分割和识别性能。边缘检测法的种类很多,如微分算子法、样板匹配法、小波检测法、神经网络法等等,每一类检测法又有不同的具体方法。目前,微分算子法中有Roberts,Sobel,Prewitt,Canny,Laplacian,Log 以及二阶方向导数等算子检测法,本文仅将讨论微分算子法中的几个常用算子法及一个改进Sobel算法。 1 边缘检测

在图像中,边缘是图像局部强度变化最明显的地方,它主要存在于目标与目标、目标与背景、区域与区域( 包括不同色彩) 之间。边缘表明一个特征区域的终结和另一特征区域的开始。边缘所分开区域的内部特征或属性是一致的,而不同的区域内部特征或属性是不同的。边缘检测正是利用物体和背景在某种图像特征上的差异来实现检测,这些差异包括灰度、颜色或纹理特征,边缘检测实际上就是检测图像特征发生变化的位置。边缘的类型很多,常见的有以下三种: 第一种是阶梯形边缘,其灰度从低跳跃到高; 第二种是屋顶形边缘,其灰度从低逐渐到高然后慢慢减小; 第三种是线性边缘,其灰度呈脉冲跳跃变化。如图1 所示。 (a) 阶梯形边缘(b) 屋顶形边缘 (b) 线性边缘 图像中的边缘是由许多边缘元组成,边缘元可以看作是一个短的直线段,每一个边缘元都由一个位置和一个角度确定。边缘元对应着图像上灰度曲面N 阶导数的不连续性。如果灰度曲面在一个点的N 阶导数是一个Delta 函数,那么就

无线模块电路设计

基于MRF24J40的IEEE802.15.4无线收发电 路设计 时间:2010-11-30 19:05:33 来源:作者:黄智伟何娜 IEEE802.15.4无线收发器MRF24J40芯片内部包含有SPI接口、控制寄存器、MAC 模块、PHY驱动器四个主要的功能模块,支持IEEE802.15.4,MiWiTM,ZigBee等协议,工作在2.405~2.48 GHz ISM频段,接收灵敏度为-91 dBm,最大输入电平为+5 dBm,输出功率为+0 dBm,功率控制范围为38.75 dB,集成有20 MHz和32.768 kHz主控振荡器,MAC/基带部分采用硬件CSMA-CA结构,自动ACK6和FCS检测,CTR、CCM和CBC-MAC 模式采用硬件加密(AES-128),电源电压范围为2.4~3.6 V,接收模式电流消耗为18 mA,发射模式电流消耗为22 mA,睡眠模式电流消耗为2μA。 MRF24J40采用6 mm×6 mm QFN-40封装,引脚端封装形式如图1所示。图中:引脚端RFP和RFN分别为芯片的RF差分输入/输出正端和负端,两者都是模拟输入/输出端口,与系统天线相连接;VDD为电源电压输入引脚端,每个电源电压输入引脚端都必须连接一个电源去耦电容;GND为接地引脚端,必须低阻抗的连接到电路的接地板;GPIOO~GPIO5是通用数字I/O口,其中GPIO0也被用来作为外部功率放大器使能控制,GPIO1和GPIO2也被用来作为外部TX/RX开关控制;RESET为复位引脚端,低电平有效;WAKE为外部唤醒触发输入端;INT为到微控制器的中断引脚端;SDO,SDI,SCK和CS是MRF24J40的SPI接口输入输出引脚端,其中SDO是MRF24J40的串行数据输出,SDI是MRF24J40的串行接口数据输入,SCK是串行接口的时钟,CS是串行接口使能控制引脚端;LPOSC1和LPOSC2为32 kHz晶振输入正端和负端;OSC1和OSC2为20 MHz晶振输入正端和负端;CLKOUT为20/10/5/2.5 MHz时钟输出端;LCAP引脚端用来连接一个180 pF的PLL环路滤波器电容;XIP和RXQP为接收I通道和Q通道输出正端。 2 MRF24J40构成的IEEE802.15.4无线收发电路 MRF24J40构成的IEEE802.15.4无线收发电路如图2所示,各电源电压引脚端根据需要分

数字电路设计实例

数字电路综合设计案例 8.1 十字路口交通管理器 一、要求 设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。 二、技术指标 1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。 2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意, 执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。 3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。 三、设计原理和过程: 本课题采用自上而下的方法进行设计。 1.确定交通管理器逻辑功能 ⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能: ①甲道通行,乙道禁止通行; ②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过; ③甲道禁止通行,乙道通行; ④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。 ⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。 ⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后, 阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。 设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。 由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

4 脉冲信号产生电路共23页文档

4 脉冲信号产生电路 4.1 实验目的 1.了解集成单稳态触发器的基本功能及主要应用。 2.掌握555定时器的基本工作原理及其性能。 3.掌握用555定时器构成多谐振荡器、单稳态触发器的工作原理、设计及调试方法。 4.2 实验原理 1.集成单稳态触发器及其应用 在数字电路的时序组合工作中,有时需要定时、延时电路产生定时、展宽延时等脉冲,专门用于完成这种功能的IC,就是“单稳延时多谐振荡器”,也称“单稳触发器”。其基本原理是利用电阻、电容的充放电延时特性以及电平比较器对充放电电压检测的功能,实现定时或延时,只需按需要灵活改变电阻、电容值大小,就可以取得在一定时间范围的延时或振荡脉冲输出。常用的器件有LS121/122、LS/HC123、LS/HC221、LS/HC423、HC/C4538及CC4528B等。 集成单稳态触发器在没有触发信号输入时,电路输出Q=0,电路处于稳态;当输入端输入触发信号时,电路由稳态转入暂稳态,使输出Q=1;待电路暂稳态结束,电路又自动返回到稳态Q=0。在这一过程中,电路输 出一个具有一定宽度的脉冲,其宽度与电路的外接定时元件C ext 和R ext 的数 值有关。 图4-1

集成单稳态触发器有非重触发和可重触发两种,74LS123是一种双可重触发的单稳态触发器。它的逻辑符号及功能表如图4-1、表4-1所示。 在表4-1中“正”为正脉冲,“负”为负脉冲。 LS/HC123的特点是,复位端CLR也具有上跳触发单稳态过程发生的功能。 在C ext >1000pF时,输出脉冲宽度t w ≈0.45R ext C ext 。 器件的可重触发功能是指在电路一旦被触发(即Q=1)后,只要Q还未恢复到0,电路可以被输入脉冲重复触发,Q=1将继续延长,直至重复触发的最后一个触发脉冲的到来后,再经过一个t w (该电路定时的脉冲宽度)时间,Q才变为0,如图4-2所示: 图4-2 74LS123的使用方法: (1)有A和B两个输入端,A为下降沿触发,B为上升沿触发,只有AB=1时电路才被触发。 (2)连接Q和A或Q与B,可使器件变为非重触发单稳态触发器。 (3)CLR=0时,使输出Q立即变为0,可用来控制脉冲宽度。 (4)按图4-3、3-5-4连接电路,可组成一个矩形波信号发生器,利用开关S瞬时接地,使电路起振。 图4-3 图4-4 2.555时基电路及其应用 555时基电路是一种将模拟功能和数字逻辑功能巧妙地结合在同一硅片上的新型集成电路,又称集成定时器,它的内部电路框图如图4-5所示。 图4-5 电路主要由两个高精度比较器C 1、C 2 以及一个RS触发器组成。比较器 的参考电压分别是2/3V CC 和1/3V CC ,利用触发器输入端TR输入一个小于 1/3V CC 信号,或者阈值输入端TH输入一个大于2/3V CC 的信号,可以使触发 器状态发生变换。CT是控制输入端,可以外接输入电压,以改变比较器的参考电压值。在不接外加电压时,通常接0.01μF电容到地,DISC是放电输入端,当输出端的F=0时,DISC对地短路,当F=1时,DISC对地开路。 R D 是复位输入端,当R D =0时,输出端有F=0。 器件的电源电压V CC 可以是+5V~+15V,输出的最大电流可达200mA,当 电源电压为+5V时,电路输出与TTL电路兼容。555电路能够输出从微秒级到小时级时间范围很广的信号。 (1)组成单稳态触发器 555电路按图4-6连接,即构成一个单稳态触发器,其中R、C是外接定时元件。单稳态触发器的输出脉冲宽度t w ≈1.1RC。 图4-6 (2)组成自激多谐振荡器 图4-7 自激多谐振荡器电路 按图4-7连接,即连成一个自激多谐振荡器电路,此电路的工作过程

脉冲边沿检测

脉冲边缘检测法: Reg[2:0] key_rst; //用来保存按键的值 Reg[2:0] key_rst_r; //用来保存key_rst的值 always @(posedge clk or negedge rst) begin if(!rst)key_rst<=3’b111; else key_rst<={key1,key2,key3};//将三个按键的值都赋给key_rst end always @ (posedge clk or negedge rst) begin if(!rst) key_rst_r<=3’b111; else key_rst_r<=key_rst; end wire[2:0] key_an; assign key_an=key_rst_r&(~key_rst);//实现了记录由低电平按下的目的到此就可以判断有按键按下或有抖动。这两个是那一个到此还不确定。 Reg[2:0] low_sw; Reg[2:0] low_sw_r; Reg[19:0] cnt; always @(posedge clk or negedge rst) begin if(!rst) cnt<=20’d0; else if(key_an) cnt<=20’d0; else cnt<=cnt+1’b1; end always @(posedge clk or negedge clk) begin if(!rst) low_sw<=3’b111; else if(cnt==20’hfffff) low_sw<={key1,key2,key3}; end always @(posedge clk or negedge rst) begin if(!rst) low_sw_r<=3’b111; else low_sw_r<=low_sw; end wire[2:0] led_ctrl assign led_ctrl=low_sw_r&(~low_sw); 到此就可以判断是按键按下还是抖动了,如果led_ctrl=1那就是按键按下了,如果led_ctrl=0,则是抖动。 注:开始时按键接的脚为高电平。当按键按下时按键对应的脚为低电平。

单片机脉冲信号测量

郑州工业应用技术学院 课程设计说明书 题单片机脉冲信号测量 姓名: 院(系):信息工程学院专业班级:计算 机科学与技术学号: 指导教师: 成绩: 时间:年月日至年月日

摘要 脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,频率等参数,并用十进制数字显示出来。利用定时器的门控信号GATE进行控制可以 实现脉冲宽度的测量。在单片机应用系统中,为了便于对LED显示器进行管理,需要建立一个显示缓冲区。本文介绍了基于单片机AT89C51的脉冲信号参数测量仪的设计。该设计可以对脉冲信号的宽度,频率等参数进行测量。 关键词:脉冲信号;频率;宽度;单片机AT89C51

目录 摘要............................................................... I 目录............................................................... II 第一章技术背景及意义 (1) 第二章设计方案及原理 (2) 第三章硬件设计任务 (3) 第四章软件结论 (12) 第五章参考文献 (13) 第六章附录 (14)

第一章技术背景及意义 单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微型计算机简称单片机,特别适用于控制领域,故又称为微控制器。通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处理器、存储器和I/O 接口电路等。因此,单片机只需要和适当的软件及外部设备相结合,便可成为一个单片机控制系统。由于单片机稳定可靠、物美价廉、功耗低,所以单片机的应用日益广泛深入,涉及到各行各业,如工业自动化、智能仪表与集成智能传感器、家用电器等领域。单片机应用的意义绝不仅限于它的广阔范围以及带来的经济效益,更重要的意义在于,单片机的应用正从根本上改变着传统的控制系统的设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分控制功能,现在使用单片机通过软件就能实现了。随着单片机应用的推广普及,单片机控制技术将不断发展,日益完善。因此,本课程设计旨在巩固所学的关于单片机的软件及硬件方面的知识,激发广大学生对单片机的兴趣,提高学生的创造能力,动手能力和将所学知识运用于实践的能力。 中断功能是一种应用比较广泛的功能,它指的是当CPU正在处理某件事情的时候,外部发生了某一件事(如一个电平的变化,一个脉冲沿的发生或定时器计数溢出等)请求CPU迅速去处理,于是,CPU暂时终止当前的工作,转去处理所发生的事件。中断服务处理完该事件以后,再回到原来被中止的地方继续原来的工作,这样的过程称为中断。本文中用到了定时器T0溢出中断,以实现软件延时。脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,脉冲频率等参数。

脉冲信号正常与否的判断

1. 讲废话 最近闲得蛋疼,翻阅了一下以前的项目笔记,想起去年折腾的一个小玩意儿。记得当时是由于项目需要,boss找到我,说主板上有一个单片机,会发出heartbeat信号(就是MCU等一些芯片的心跳信号,只要芯片活着,就会一直发送固定频率的脉冲出去,如果芯片挂了,heartbeat就一直为0或1),能不能用CPLD判断这个信号是否处于正常状态?(说白了,也就是判断单片机是否还活着)。于是着手研究了一下这个heartbeat信号,用示波器测了一下,是一个标准的脉冲,频率为1HZ,占空比为50%,捯饬了两个小时,给出的解决方案是:每3s钟,计算一次上升沿的个数,如果此数值等于0,则heartbeat信号异常(用0表示),否则heartbeat信号正常(用1表示)。 把这个问题和方案贴出来,一是觉得实用性比较强,以前也没有看到有人做过,二是此解决方案对于其他同类问题有启发作用,只要弄懂此个案,其他问题也会触类旁通,易于解决。 现在给出方案的verilog源码,供大家研究,代码已通过项目验证,可靠好用。 2. 晒干货 ps. 带★号处可根据需要进行修改. /*********************************************************************** ******************* Author: Bob Liu E-mail:shuangfeiyanworld@https://www.doczj.com/doc/4016633223.html, Device: LCMX0256C-3T100C Tool: Diamond 1.1 Function:判断脉冲输入信号是否正常 Version: 2012-1-11 v1.0 ************************************************************************ ******************/ module pulse_in_status ( input CLK, // 基准时钟,50M input pulse_in, // 被测脉冲 output reg pulse_in_status // 指示被测脉冲正常与否,1表示正常,0异常 ); reg [1 :0] temp; // 电平值寄存器 reg [31:0] CNT,CNT2; reg [3 :0] pos_edge; // 上升沿个数 parameter delay = 50_000;

变频器电压检测电路

变频器的电压检测电路(新) ——正弦变频器电压检测实际电路分析 一、电路构成和原理简析 电压检测电路,是变频器故障检测电路中的一个重要组成部分,旨在保障使IGBT 逆变电路的工作电源电压在一特定安全范围以内,若工作电源危及IGBT (包含电源本身的储通电容)器件的安全时,实施故障报警、使制动电路投入工作、停机保护等措施。此外,少数机型还有对输出电压的检测,在一定程度上,起到对IGBT 导通管压降检测的同样作用,取代驱动电路中IGBT 的管压降检测电路。 1、电压检测电路的构成、电压采样方式及故障表现 图1 电路检测电路的构成(信号流程)框图 1、电压检测电路的电压采样形式(前级电路) 1)直接对DC530V 电压采样 78L05C 8 P N 图2 DC530V 电压检测电路之一 直接对P 、N 端DC530V 整流后电源电压进行进行采样,形成电压检测信号。如阿尔法ALPHA2000型变

频器的电压检测电路,如图2所示。 电路中U14线性光耦合器的输入侧供电,由开关变压器的独立绕组提供的交流电压,经整流滤波、由78L05稳压处理得到5V 电源所提供,电源地端与主电路N 端同电位。输出侧供电,则由主板+5V 所提供。 直流回路P 、N 端的DC530V 电压,直接经电阻分压,取得约120mV 的分压信号,输入U14(线性光耦合器,其工作原理前文已述)进行光、电隔离与线性放大后,在输出端得到放大了的检测电压信号,再由LF353减法放大器进一步放大,形成VPN 直流电压检测信号,经CNN1端子,送入MCU 主板上的电压检测后级电路。 2)由开关变压器次级绕组取得采样电路信号 +5V -42V 图3 DC530V 电压检测电路之二 N +5V N1输入电压波形示意图V T 截止 VT 饱合导通 0V 530V 5V 0V -42V N3输出电压波形示意图 压采样等效电路T1 图4 直流回路电压采样等效电路及波型示意图 主电路的DC550V 直流电压检测信号,并不是从主电路的P 、N 端直接取得,而是“间接”从开关电源的二次绕组取出,这是曾经令一些检修人员感到困惑、找不到电压检测信号是从何处取出的一件事情,也成为该部分电路检修的一个障碍。电压采样电路如上图4所示。 在开关管VT 截止期间,开关变压器TRAN 中储存的磁能量,由次级电路进行整流滤波得到+5V 工作电源,释放给负载电路;在VT 饱和导通期间,TC2从电源吸取能量进行储存。 N3二级绕组上产生的电磁感应电压,正向脉冲出现的时刻对应开关管的截止时间,宽度较大,幅值较低,经二极管D12正向整流后提供负载电路的供电,有电流释放回路;反向脉冲出现的时刻对应开关管的饱和导通时间,宽度极窄,但并不提供电流输出,回路的时间常数较大(不是作为供电电源应用,只是由R 、C 电路取得电压检测信号),故能在电容C17上维持较高的幅值。开关管VT 饱合导通时,相当于将N1绕组直接接入530V 电源,因而在同一时刻N3绕组此时所感应的负向脉冲电压,是直接反映N1绕组供电电压高低的,并与其成线性比例关系——N3绕组感应电压的高低,仅仅取决于N1、N3绕组的匝数比。整

Saber常见电路仿真实例

Saber常见电路仿真实例 一稳压管电路仿真 (2) 二带输出钳位功能的运算放大器 (3) 三5V/2A的线性稳压源仿真 (4) 四方波发生器的仿真 (7) 五整流电路的仿真 (10) 六数字脉冲发生器电路的仿真 (11) 七分频移相电路的仿真 (16) 八梯形波发生器电路的仿真 (17) 九三角波发生器电路的仿真 (18) 十正弦波发生器电路的仿真 (20) 十一锁相环电路的仿真 (21)

一稳压管电路仿真 稳压管在电路设计当中经常会用到,通常在需要控制电路的最大输入、输出或者在需要提供精度不高的电压参考的时候都会使用。下面就介绍一个简单例子,仿真电路如下图所示: 在分析稳压管电路时,可以用TR分析,也可以用DT分析。从分析稳压电路特性的角度看,DT分析更为直观,它可以直接得到稳压电路输出与输入之间的关系。因此对上面的电路执行DT分析,扫描输入电压从9V到15V,步长为0.1V,分析结果如下图所示: 从图中可以看到,输入电压在9~15V变化,输出基本稳定在6V。需要注意的是,由于Saber仿真软件中的电源都是理想电源,其输出阻抗为零,因此不能直接将电源和稳压管相连接,如果直接连接,稳压管将无法发挥作用,因为理想电源能够输

出足以超出稳压管工作范围的电流。 二带输出钳位功能的运算放大器 运算放大器在电路设计中很常用,在Saber软件中提供了8个运放模板和大量的运放器件模型,因此利用Saber软件可以很方便的完成各种运方电路的仿真验证工作.如下图所示的由lm258构成的反向放大器电路,其放大倍数是5,稳压二极管1N5233用于钳位输出电压. 对该电路执行的DT分析,扫描输入电压从-2V->2V,步长为0.1V,仿真结果如下图所示:

脉冲电路设计

脉冲电路脉冲电路的基本知识在数字电路中分别以高电平和低电平表示1状态和0状态。此时电信号的波形是非正弦波。通常,就把一切既非直流又非正弦交流的电压或电流统称为脉冲。图Z1601表示出几种常见的脉冲波形,它们既可有规律地重复出现,也可以偶尔出现一次。脉冲波形多种多样,表征它们特性的参数也不尽相同,这里,仅以图Z1602所示的矩形脉冲为例,介绍脉冲波形的主要参数。(1)脉冲幅度Vm--脉冲电压或电流的最大值。脉冲电压幅度的单位为V、mV,脉冲电流幅度的单位为A、mA。(2)脉冲前沿上升时间tr--脉冲前沿从0.1Vm上升到0.9Vm所需要的时间。单位为ms、μs、ns。(3)脉冲后沿下降时间tf--脉冲后沿从0.9Vm下降到0.1Vm所需要的时间。单位为:ms、μs、ns。(4)脉冲宽度tk--从脉冲前沿上升到0.5Vm处开始,到脉冲下降到0.5Vm处为止的一段时间。单位为:s、ms、μs或ns。(5)脉冲周期T--周期性重复的脉冲序列中,两相邻脉冲重复出现的间隔时间。单位为:s、ms、μs。(6)脉冲重复频率--脉冲周期的倒数,即f =1/T,表示单位时间内脉冲重复出现的次数,单位为Hz、kHz、MHz。(7)占空比tk/T--脉冲宽度与脉冲周期的比值,亦称占空系数。 对电路来说,有个阻抗匹配问题,只有当阻抗匹配时,输出效果才最好,否则,有可能导致负载力不足,导致一旦外加电路,就会把电压拉下了,建议后面加一级运放增大负载能力 交流电源的零交越脉冲电路设计 时间:2012-04-25 14:58:04 来源:作者:本设计中的电路可生成一个交流电源的零交越脉冲,并提供电气绝缘。输出脉冲的下降沿出现在零交越点前约200μs。使用这个电路可以安全地停止一个可控硅栅极的触发,使之有时间正常地关断。只有当主电压约为0V时,电路才产生短脉冲,因此在230V、50Hz输入下只耗电200mW。 电路为电容C1充电,直至达到22V齐纳二极管D3的上限(图1与参考文献1)。电阻R1和R5用于限制输入电流。当输入整流电压降至C1电压以下时,Q1开始导通,产生一个几百微秒长的脉冲。IC1的耦合使得Q1方波发生器作出响应。rms工作电压只需要R1和R5。SMD的1206型电阻一般能承受rms为200V的电压。本设计将R1和R5之间的输入电压一分为二,总额定电压为rms值400V。D3用于将桥的电压限制在22V,因此后面所有元件都有较低的额定电压。22V齐纳管可以箝位在30V,因此本设计使用了一只50V、470nF的陶瓷电容。陶瓷电容较电解电容或钽电容有更好的可靠性,尤其是在高温下。如果愿意使用更便宜更小的25V元件,可以将齐纳管的电压改为18V,仍保有不错的安全边际。R4用于限制LED上的峰值电流。对LED电流的主要限制是整流AC输入的斜率。缓慢的斜率使得C1释放储存的能量时,Q1不会产生电流尖峰。

实验四串口接收模块电路设计

实验四串口接收模块电路设计 一、实验目的: 1、熟练使用ISE设计工具。 2、理解串口传输协议。理解采用“自顶向下”设计思路,分解模块的方法。 3、在ISE使用Verilog HDL设计串口接收模块,完成仿真、下载。 二、原理分析 (一)串口传输协议概述 设计完成异步串口通信通用异步收发是一种典型的异步串口通信,简称UART。串口通信时序如图1所示。 图1 通用异步收发时序图 由图1可以看出,在没有数据传送时,通信线会一直处于高电平,即逻辑1状态;当有数据传送时,数据帧以起始位开始,以停止位结束。起始位为低电平,即逻辑0状态;停止位为高电平,即逻辑1状态,其持续时间可选为1位、1.5位或2位(本次设计选择持续时间1位)。接收端在接收到停止位后,知道一帧数据已经传完,转为等待数据接收状态;只要再接收到0状态,即为新一帧数据的起始状态。 数据帧的数据位低位(LSB)在前,高位(MSB)在后,根据不同的编码规则,数据位可能为5位、6位、7位或者8位(本次设计数据位定位8位)。校验位也可根据需要选择奇校验、偶校验或者不要校验(本次设计不要校验位)。 (二)串口时序分析 串口通讯常用“波特率”表述串口传输速率,常用的参数有9600 bps 和115200 bps等。在硬件传输角度看,波特率表征了传输一位数据所需要的时间。例如:波特率是9600 bps,传输一位数据的时间是1/9600= 0.000104166666666667秒。如果FPGA系统时钟是20MHZ,则一位数据传输时间相当于(1/9600)/(1/20M)=2083个20MHZ时钟周期。 设一帧数据位数=1(开始位)+8(数据位)+1(校验位)+1(结束位)=11位,所以传输一帧数据的时间是11*1/9600=0.00114583333333333333333333333333秒。 为了稳定采集串口数据帧的数据,需要在每位数据的“中间时刻”采样,由此,需要在每位数据开始时刻对时钟进行计数,若系统时钟是20MHZ,则在计数至2083/2=1042时采样此时刻的数值。 三、系统分析: 为实现串口接收电路,FPGA应该完成: 1、及时发现数据传输的开始,并判断每一位的开始。 2、按照“在数据位中间采样”的要求,确认采样时刻。 3、将采样得到串行数据转换为并行数据。

射频电路设计实例以及一些经常遇见的问

射频电路设计实例以及一些经常遇见的问射频电路设计很多人都会,那么你知道它的一些注意事项吗?在实际电路设计中,会遇到各种奇怪的问题,这就需要自己通过实践来积攒经验。真正实用的技巧是当这些准则和法则因各种设计约束而无法准确地实施时如何对它们进行折衷处理。当然,有许多重要的RF设计课题值得讨论,包括阻抗和阻抗匹配、绝缘层材料和层叠板以及波长和驻波等,在全面掌握各类设计原则前提下的仔细规划是一次性成功设计的保证。 一、RF电路设计的常见问题 1、数字电路模块和模拟电路模块之间的干扰 如果模拟电路(射频)和数字电路单独工作,可能各自工作良好。但是,一旦将二者放在同一块电路板上,使用同一个电源一起工作,整个系统很可能就不稳定。 这主要是因为数字信号频繁地在地和正电源(>3 V)之间摆动,而且周期特别短,常常是纳秒级的。由于较大的振幅和较短的切换时间。使得这些数字信号包含大量且独立于切换频率的高频成分。在模拟部分,从无线调谐回路传到无线设备接收部分的信号一般小于lμV。因此数字信号与射频信号之间的差别会达到120 dB。显然.如果不能使数字信号与射频信号很好地分离。微弱的射频

信号可能遭到破坏,这样一来,无线设备工作性能就会恶化,甚至完全不能工作。 2、供电电源的噪声干扰 射频电路对于电源噪声相当敏感,尤其是对毛刺电压和其他高频谐波。微控制器会在每个内部时钟周期内短时间突然吸人大部分电流,这是由于现代微控制器都采用CMOS工艺制造。因此,假设一个微控制器以lMHz的内部时钟频率运行,它将以此频率从电源提取电流。如果不采取合适的电源去耦,必将引起电源线上的电压毛刺。如果这些电压毛刺到达电路RF部分的电源引脚,严重时可能导致工作失效。 3、不合理的地线 如果RF电路的地线处理不当,可能产生一些奇怪的现象。对于数字电路设计,即使没有地线层,大多数数字电路功能也表现良好。而在RF频段,即使一根很短的地线也会如电感器一样作用。粗略地计算,每毫米长度的电感量约为l nH,433 MHz时10 toni PCB线路的感抗约27Ω。如果不采用地线层,大多数地线将会较长,电路将无法具有设计的特性。 4、天线对其他模拟电路部分的辐射干扰 在PCB电路设计中,板上通常还有其他模拟电路。 例如,许多电路上都有模,数转换(ADC)或数/模转换器(DAC)。射频发送器的天线发出的高频信号可能会到达ADC的模拟淙攵恕R蛭魏蔚缏废呗范伎赡苋缣煜咭谎⒊龌蚪邮誖F信号。如果ADC输入端的处理不合理,RF信号可能在ADC输入的ESD二极管内自激。从而引起ADC偏差。 二、五大经验总结 1、射频电路布局原则 在设计RF布局时,必须优先满足以下几个总原则: (1)尽可能地把高功率RF放大器(HPA)和低噪音放大器(LNA)隔离开来,简单地说,就是让高功率RF发射电路远离低功率RF接收电路;

基于verilog的边沿检测电路

在时序逻辑电路中,少不了“沿”。always块敏感信号中可以通过关键字posedge和negedge来提取信号的上升沿和下降沿。但是如果在程序块内部需要某个信号的上升沿或者下降沿、或者对于按钮触发的模块,由于按钮按下的持续时间很长,相当于一个电平信号,而不是脉冲信号,这时就需要边沿检测电路将其处理成单时钟周期宽度的脉冲信号。下面介绍几种基于verilog的边沿检测电路。(软件平台为quartus11.1,ModelSim-Altera 10.0) 方法1: ①Verilog源码 module detecEdge (clk,rst_n,din,pos_clk,neg_clk,doub_clk); input clk,rst_n,din; output pos_clk,neg_clk,doub_clk; reg ctl_this, ctl_last; always @(posedge clk or negedge rst_n) //同步复位(注意与异步复位的区别) begin if(!rst_n) //低有效 begin ctl_this <= 0; ctl_last <= 0; end else begin //注意非阻塞赋值的作用 ctl_this <= din; //din的当前时钟值 ctl_last <= ctl_this; //din的前一个时钟值 end end //assign pos_clk = (ctl_last == 0 && ctl_this == 1)? 1:0; //上升沿检测 //assign neg_clk = (ctl_last == 1 && ctl_this == 0)? 1:0; //下降沿检测 assign pos_clk = ctl_this & (!ctl_last); //上升沿检测 assign neg_clk = ctl_last & (!ctl_this); //下降沿检测 assign doub_clk = ctl_last ^ ctl_this; //双边沿检测 endmodule ②RTL综合图

喷油脉冲信号.doc

喷油脉冲信号 操作说明 喷油器的驱动器简称喷油驱动器有四种基本类型: 饱和开关型 峰值保持型 博士(BOSCH)峰值保持型 PNP型 喷油脉冲检测操作说明 连接: 用通用探针连接喷油脉冲传感器输出信号线。将一缸信号拾取器夹在一缸高压线上。 操作说明: ●在“电控发动机参数”菜单下点击“喷油脉冲信号”图标,系统即可进入 喷油脉冲传感器波形测试界面,并显示所测得的喷油脉冲传感器波形,如下图所示。 ●用鼠标左键点击“停止”图标(“停止”图标被按下后即变为“测试”图 标),系统即停止测试,再点击此图标即可恢复测试(同时“测试”图标恢复为“停止”图标)。 ●显示的转速、占空比、频率与显示的波形实时对应。 ●在停止状态下可点击“显示调整”图标,在弹出的工具窗口中可对X、Y轴 进行缩放、平移,以便观察。 ●用鼠标左键点击“保存数据”图标可将检测有效结果进行保存。

●用鼠标左键点击“保存波形”图标可将波形保存于指定目录。 ●用鼠标左键点击“图形打印”可对界面有效区域进行图形打印。 ●点击帮助图标可进入帮助系统查看相应技术数据。 ●用鼠标左键点击“返回”图标可返回上级菜单。 喷油脉冲传感器检测 饱和开关型(PFI/SFI)喷油器驱动器

*测试步骤 起动发动机,以2500转/分转速保持油门2-3分钟,直至发动机完全热机,同时燃油反馈系统进入闭环,通过观察屏幕上氧传感器的信号确定这一点。 关掉空调和所有附属电器设备,让变速杆置于停车档或空档,缓慢加速并观察在加速时喷油驱动器喷油时间的相应增加。 A. 从进气管加入丙烷,使混合气变浓,如果系统工作正常,喷油驱动器喷油时间将缩短,它试图对浓的混合气进行修正(高的氧传感器电压)。

电源电路设计模块图

电源电路单元 前面介绍了电路图中的元器件的作用和符号。一张电路图通常有几十乃至几百个元器件,它们的连线纵横交叉,形式变化多端,初学者往往不知道该从什么地方开始,怎样才能读懂它。其实电子电路本身有很强的规律性,不管多复杂的电路,经过分析可以发现,它是由少数几个单元电路组成的。好象孩子们玩的积木,虽然只有十来种或二三十种块块,可是在孩子们手中却可以搭成几十乃至几百种平面图形或立体模型。同样道理,再复杂的电路,经过分析就可发现,它也是由少数几个单元电路组成的。因此初学者只要先熟悉常用的基本单元电路,再学会分析和分解电路的本领,看懂一般的电路图应该是不难的。 按单元电路的功能可以把它们分成若干类,每一类又有好多种,全部单元电路大概总有几百种。下面我们选最常用的基本单元电路来介绍。让我们从电源电路开始。 一、电源电路的功能和组成 每个电子设备都有一个供给能量的电源电路。电源电路有整流电源、逆变电源和变频器三种。常见的家用电器中多数要用到直流电源。直流电源的最简单的供电方法是用电池。但电池有成本高、体积大、需要不时更换(蓄电池则要经常充电)的缺点,因此最经济可靠而又方便的是使用整流电源。 电子电路中的电源一般是低压直流电,所以要想从 220 伏市电变换成直流电,应该先把220 伏交流变成低压交流电,再用整流电路变成脉动的直流电,最后用滤波电路滤除脉动直流电中的交流成分后才能得到直流电。有的电子设备对电源的质量要求很高,所以有时还需要再增加一个稳压电路。因此整流电源的组成一般有四大部分,见图 1 。其中变压电路其实就是一个铁芯变压器,需要介绍的只是后面三种单元电路。 二、整流电路 整流电路是利用半导体二极管的单向导电性能把交流电变成单向脉动直流电的电路。 ( 1 )半波整流 半波整流电路只需一个二极管,见图 2 ( a )。在交流电正半周时 VD 导通,负半周时 VD 截止,负载 R 上得到的是脉动的直流电

5V电源电路设计(包括电路各模块的详解)

5v电源电路的设计 本设计是要设计一个+5V直流电源供电,这里没有直接的+5V电压,而直流电源的输入电压为220V的电网电压,在正常情况下,这一电网电压是远远的高于本设计所需的电压值,因而需要先使用变压器,将220V的电网电压降低后,再进行下一阶段的处理[4]。 变压器是这一电源电路起始部分,将220V的电网电压转变为本设计所需的较低的电压,就可以进行下一阶段的整流部分。一般规定v1为变压器的高压侧,v2为变压器的低压侧,v1侧的线圈要比v2侧的线圈要多,这样就可以将220V 的电网电压降低,如图1所示: 图1变压器 单相桥式整流电路,就是将交流电网电压转换为所需电压,整流电路由四只整流二极管组成。下面简单介绍一下单相桥式整流电路的工作原理,为简便起见,这里所选的二极管都是理想的二极管,二极管正向导通时电阻为零,反向导通时电阻无穷大。在v2的正半周,电流从变压器副边线圈的上端流出,经过二极管D1,再由二极管D4流回变压器,所以D1、D4正向导通,D2、D3反向截止,产生一个极性为上正下负的输出电压。在v2的负半周,其极性正好相反,电流从变压器副边线圈的下端流出,经过二极管D2,再由二极管D3流回变压器,所以D1、D4反向截止,D2、D3正向导通。桥式整流电路利用了二极管的单向导电性,利用四个二极管,是它们交替导通,从而负载上始终可以得到一个单方向的脉动电压[6]。单相桥式整流电路如图2所示:

图2单相桥式整流电路 本设计的滤波电路采用的是电解电容和二极管并联方式滤波,简单的讲就是电容两端电压升高时,电容充电,电压降低时,电容放电,让电压降低时的坡度变得平缓,从而起到滤波的作用。这里选用电解电容是因为电解电容单位体积的电容量非常大,能比其它种类的电容大几十到数百倍,并且其额定的容量可以做到非常大,价格比其它种类相比具有相当大的优势,因为其组成材料都是普通的工业材料,比如铝等等。电解电容并联二极管,有效防止了电压反相。滤波电路如图3所示: 图3滤波电路 三端稳压器MC78M05CT将输出电压稳定在+5V上,三端稳压器如图4所示:

(完整版)小目标微弱信号检测电路设计

小目标微弱信号检测电路设计 在靶场测试领域,天幕靶是一种常用的光电触发设备。既可以用作区截装置测量弹丸的飞行速度,也可采用多幕交汇技术测量弹丸的着靶坐标,还可以作为其他设备的测试触发装置。但现有天幕靶灵敏度低、视场小、抗干扰能力差。本文设计了一种小目标微弱信号检测电路,通过光电二极管进行光电信号转换,并且设计了信号放大电路与滤波处理, 有效地滤除了干扰信号, 提高了天幕靶抗干扰能力。 硬件设计 整体流程图如下图所示,光电探测器将接收到的光信号转换为电信号,并通过前置放大电路与主放大电路进行信号放大,电压比较器可以将电信号转换成脉冲,经过滤波电路将干扰信号去除后送入单片机的中断控制口,单片机产生中断,处理中断程序,然后会有脉冲输出,脉冲经过信号输出电路进行整形,由于输出信号需要进行长距离的传输,因此需要驱动电路将信号驱动。图1为整体设计硬件原理图。

图1 整体设计硬件原理图 光电转换电路 利用可见光探测器单元硅PIN光电二极管作为光电转换期间来完成光信号到电信号的转换。这种器件体积小而且响应速度快,被广泛的应用于光电检测。光电二极管是半导体产品,当它受到光照时会产生电流或电压。它们没有内置增益,但与其他类型的光子探测器相比却有着更大的动态范围。本电路设计采用20只光电二极管连接起来形成阵列。图2为其中的两路设计,其余各路连接方法相同。其中LM7812为电源稳压芯片,保证输出稳定的电压,R1、R2为采样电阻,电容C5与C6主要用于交流耦合。

图2 光电转换电路 前置放大电路 光电前置放大电路如图3所示, 电路在光电转换电路和放大器的输出之间加一个由R3和C7组成的RC滤波电路, 这样就限制了放大器输出信号的带宽, 滤掉了经过放大的噪声和放大器本身的噪声。电容C8 用来补偿RC滤波环节引起的相角滞后,电容C9用来补偿放大电路输入端的复合电容引起的相角滞后, 控制噪声增益的峰值。

相关主题
文本预览
相关文档 最新文档