当前位置:文档之家› 脉冲边沿检测(Verilog)

脉冲边沿检测(Verilog)

脉冲边沿检测(Verilog)
脉冲边沿检测(Verilog)

脉冲边沿检测(Verilog)

在很多时候都要对输入脉冲进行边沿检测,如PS/2时序,ps2_data数据在ps 2_clk时钟下降沿接收。

边沿检测Verilog程序代码:

module DetecEdge(clk,ps2_clk,rst_n,pos_ps2_clk,neg_ps2_clk);

input clk; //输入时钟

input ps2_clk; //输入要检测边沿的脉冲

input rst_n; //复位信号

output pos_ps2_clk; //上升沿标志位

output neg_ps2_clk; //下降沿标志位

reg ps2_clk_r0,ps2_clk_r1,ps2_clk_r2; //ps2_clk状态寄存器

always@(posedge clk or negedge rst_n)

if(!rst_n)

begin

ps2_clk_r0<=1'b0;

ps2_clk_r1<=1'b0;

ps2_clk_r2<=1'b0;

end

else//锁存状态

begin

ps2_clk_r0<=ps2_clk;

ps2_clk_r1<=ps2_clk_r0;

ps2_clk_r2<=ps2_clk_r1;

end

assign pos_ps2_clk=(~ps2_clk_r2)&ps2_clk_r1; //上升沿检测

assign neg_ps2_clk=ps2_clk_r2&(~ps2_clk_r1); //下降沿检测

endmodule

布线布局后仿真波形如下图:

可以注意到其中的移位寄存器用了非阻塞赋值(<=)

ps2_clk_r0<=ps2_clk;

ps2_clk_r1<=ps2_clk_r0;

ps2_clk_r2<=ps2_clk_r1;

如果用阻塞赋值的话,综合的时候会把其中两个寄存器去点,用阻塞赋值(=)

ps2_clk_r0=ps2_clk;

ps2_clk_r1=ps2_clk_r0;

ps2_clk_r2=ps2_clk_r1;

会出来这样的警告:

WARNING:Xst:646 - Signal is assigned but never used.

Register equivalent to has been rem oved

Found 1-bit register for signal .

WARNING:Xst:2677 - Node of sequential type is unconnect ed in block .

从RTL可以看到,只剩ps2_clk_r1一个D触发器。

上图是非阻塞赋值综合后的RTL,可以看出,有三个D触发器做移位寄存器。通过移位,对边沿进行检测。

程序工程文件下载:https://www.doczj.com/doc/9b4805615.html,/upload/2009/3/24/86bb5e63-89 59-47c4-af52-10ac330554d3.rar

代码还有一种写法:

module DetecEdge(clk,ps2_clk,pos_ps2_clk,neg_ps2_clk);

input clk; //输入时钟

input ps2_clk; //输入要检测边沿的脉冲

output pos_ps2_clk; //上升沿标志位

output neg_ps2_clk; //下降沿标志位

reg [2:0]ps2_clkr; //用一个fifo来采样ps2_clk信号;

always @(posedge clk)

ps2_clkr <= {ps2_clkr[1:0], ps2_clk};

wire pos_ps2_clk = (ps2_clkr[2:1]==2'b01); // now we can detect ps2_clk rising edges

wire neg_ps2_clk = (ps2_clkr[2:1]==2'b10); // and falling edges endmodule

布线布局后仿真波形和之前程序的仿真波形一样

程序文件下载:https://www.doczj.com/doc/9b4805615.html,/upload/2009/3/24/5286d476-c43c-4 b99-b658-5d53865ce19a.rar

参考资料:

1)verilog的PS2键盘解码——特权’s blog

https://www.doczj.com/doc/9b4805615.html,/ilove314/153929/message.aspx

2)[FPGA][Verilog][PS2]模拟PS2协议,丢掉4x4键盘,来用标准键盘吧!_阿虚的电子小屋

https://www.doczj.com/doc/9b4805615.html,/aokikyon/blog/item/e46dc2368d9f76350a55a99a.html

几种常用边缘检测算法的比较

几种常用边缘检测算法的比较摘要:边缘是图像最基本的特征,边缘检测是图像分析与识别的重要环节。基于微分算子的边缘检测是目前较为常用的边缘检测方法。通过对Roberts,Sobel,Prewitt,Canny 和Log 及一种改进Sobel等几个微分算子的算法分析以及MATLAB 仿真实验对比,结果表明,Roberts,Sobel 和Prewitt 算子的算法简单,但检测精度不高,Canny 和Log 算子的算法复杂,但检测精度较高,基于Sobel的改进方法具有较好的可调性,可针对不同的图像得到较好的效果,但是边缘较粗糙。在应用中应根据实际情况选择不同的算子。 0 引言 边缘检测是图像分析与识别的第一步,边缘检测在计算机视觉、图像分析等应用中起着重要作用,图像的其他特征都是由边缘和区域这些基本特征推导出来的,边缘检测的效果会直接影响图像的分割和识别性能。边缘检测法的种类很多,如微分算子法、样板匹配法、小波检测法、神经网络法等等,每一类检测法又有不同的具体方法。目前,微分算子法中有Roberts,Sobel,Prewitt,Canny,Laplacian,Log 以及二阶方向导数等算子检测法,本文仅将讨论微分算子法中的几个常用算子法及一个改进Sobel算法。 1 边缘检测

在图像中,边缘是图像局部强度变化最明显的地方,它主要存在于目标与目标、目标与背景、区域与区域( 包括不同色彩) 之间。边缘表明一个特征区域的终结和另一特征区域的开始。边缘所分开区域的内部特征或属性是一致的,而不同的区域内部特征或属性是不同的。边缘检测正是利用物体和背景在某种图像特征上的差异来实现检测,这些差异包括灰度、颜色或纹理特征,边缘检测实际上就是检测图像特征发生变化的位置。边缘的类型很多,常见的有以下三种: 第一种是阶梯形边缘,其灰度从低跳跃到高; 第二种是屋顶形边缘,其灰度从低逐渐到高然后慢慢减小; 第三种是线性边缘,其灰度呈脉冲跳跃变化。如图1 所示。 (a) 阶梯形边缘(b) 屋顶形边缘 (b) 线性边缘 图像中的边缘是由许多边缘元组成,边缘元可以看作是一个短的直线段,每一个边缘元都由一个位置和一个角度确定。边缘元对应着图像上灰度曲面N 阶导数的不连续性。如果灰度曲面在一个点的N 阶导数是一个Delta 函数,那么就

4 脉冲信号产生电路共23页文档

4 脉冲信号产生电路 4.1 实验目的 1.了解集成单稳态触发器的基本功能及主要应用。 2.掌握555定时器的基本工作原理及其性能。 3.掌握用555定时器构成多谐振荡器、单稳态触发器的工作原理、设计及调试方法。 4.2 实验原理 1.集成单稳态触发器及其应用 在数字电路的时序组合工作中,有时需要定时、延时电路产生定时、展宽延时等脉冲,专门用于完成这种功能的IC,就是“单稳延时多谐振荡器”,也称“单稳触发器”。其基本原理是利用电阻、电容的充放电延时特性以及电平比较器对充放电电压检测的功能,实现定时或延时,只需按需要灵活改变电阻、电容值大小,就可以取得在一定时间范围的延时或振荡脉冲输出。常用的器件有LS121/122、LS/HC123、LS/HC221、LS/HC423、HC/C4538及CC4528B等。 集成单稳态触发器在没有触发信号输入时,电路输出Q=0,电路处于稳态;当输入端输入触发信号时,电路由稳态转入暂稳态,使输出Q=1;待电路暂稳态结束,电路又自动返回到稳态Q=0。在这一过程中,电路输 出一个具有一定宽度的脉冲,其宽度与电路的外接定时元件C ext 和R ext 的数 值有关。 图4-1

集成单稳态触发器有非重触发和可重触发两种,74LS123是一种双可重触发的单稳态触发器。它的逻辑符号及功能表如图4-1、表4-1所示。 在表4-1中“正”为正脉冲,“负”为负脉冲。 LS/HC123的特点是,复位端CLR也具有上跳触发单稳态过程发生的功能。 在C ext >1000pF时,输出脉冲宽度t w ≈0.45R ext C ext 。 器件的可重触发功能是指在电路一旦被触发(即Q=1)后,只要Q还未恢复到0,电路可以被输入脉冲重复触发,Q=1将继续延长,直至重复触发的最后一个触发脉冲的到来后,再经过一个t w (该电路定时的脉冲宽度)时间,Q才变为0,如图4-2所示: 图4-2 74LS123的使用方法: (1)有A和B两个输入端,A为下降沿触发,B为上升沿触发,只有AB=1时电路才被触发。 (2)连接Q和A或Q与B,可使器件变为非重触发单稳态触发器。 (3)CLR=0时,使输出Q立即变为0,可用来控制脉冲宽度。 (4)按图4-3、3-5-4连接电路,可组成一个矩形波信号发生器,利用开关S瞬时接地,使电路起振。 图4-3 图4-4 2.555时基电路及其应用 555时基电路是一种将模拟功能和数字逻辑功能巧妙地结合在同一硅片上的新型集成电路,又称集成定时器,它的内部电路框图如图4-5所示。 图4-5 电路主要由两个高精度比较器C 1、C 2 以及一个RS触发器组成。比较器 的参考电压分别是2/3V CC 和1/3V CC ,利用触发器输入端TR输入一个小于 1/3V CC 信号,或者阈值输入端TH输入一个大于2/3V CC 的信号,可以使触发 器状态发生变换。CT是控制输入端,可以外接输入电压,以改变比较器的参考电压值。在不接外加电压时,通常接0.01μF电容到地,DISC是放电输入端,当输出端的F=0时,DISC对地短路,当F=1时,DISC对地开路。 R D 是复位输入端,当R D =0时,输出端有F=0。 器件的电源电压V CC 可以是+5V~+15V,输出的最大电流可达200mA,当 电源电压为+5V时,电路输出与TTL电路兼容。555电路能够输出从微秒级到小时级时间范围很广的信号。 (1)组成单稳态触发器 555电路按图4-6连接,即构成一个单稳态触发器,其中R、C是外接定时元件。单稳态触发器的输出脉冲宽度t w ≈1.1RC。 图4-6 (2)组成自激多谐振荡器 图4-7 自激多谐振荡器电路 按图4-7连接,即连成一个自激多谐振荡器电路,此电路的工作过程

脉冲边沿检测

脉冲边缘检测法: Reg[2:0] key_rst; //用来保存按键的值 Reg[2:0] key_rst_r; //用来保存key_rst的值 always @(posedge clk or negedge rst) begin if(!rst)key_rst<=3’b111; else key_rst<={key1,key2,key3};//将三个按键的值都赋给key_rst end always @ (posedge clk or negedge rst) begin if(!rst) key_rst_r<=3’b111; else key_rst_r<=key_rst; end wire[2:0] key_an; assign key_an=key_rst_r&(~key_rst);//实现了记录由低电平按下的目的到此就可以判断有按键按下或有抖动。这两个是那一个到此还不确定。 Reg[2:0] low_sw; Reg[2:0] low_sw_r; Reg[19:0] cnt; always @(posedge clk or negedge rst) begin if(!rst) cnt<=20’d0; else if(key_an) cnt<=20’d0; else cnt<=cnt+1’b1; end always @(posedge clk or negedge clk) begin if(!rst) low_sw<=3’b111; else if(cnt==20’hfffff) low_sw<={key1,key2,key3}; end always @(posedge clk or negedge rst) begin if(!rst) low_sw_r<=3’b111; else low_sw_r<=low_sw; end wire[2:0] led_ctrl assign led_ctrl=low_sw_r&(~low_sw); 到此就可以判断是按键按下还是抖动了,如果led_ctrl=1那就是按键按下了,如果led_ctrl=0,则是抖动。 注:开始时按键接的脚为高电平。当按键按下时按键对应的脚为低电平。

单片机脉冲信号测量

郑州工业应用技术学院 课程设计说明书 题单片机脉冲信号测量 姓名: 院(系):信息工程学院专业班级:计算 机科学与技术学号: 指导教师: 成绩: 时间:年月日至年月日

摘要 脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,频率等参数,并用十进制数字显示出来。利用定时器的门控信号GATE进行控制可以 实现脉冲宽度的测量。在单片机应用系统中,为了便于对LED显示器进行管理,需要建立一个显示缓冲区。本文介绍了基于单片机AT89C51的脉冲信号参数测量仪的设计。该设计可以对脉冲信号的宽度,频率等参数进行测量。 关键词:脉冲信号;频率;宽度;单片机AT89C51

目录 摘要............................................................... I 目录............................................................... II 第一章技术背景及意义 (1) 第二章设计方案及原理 (2) 第三章硬件设计任务 (3) 第四章软件结论 (12) 第五章参考文献 (13) 第六章附录 (14)

第一章技术背景及意义 单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微型计算机简称单片机,特别适用于控制领域,故又称为微控制器。通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处理器、存储器和I/O 接口电路等。因此,单片机只需要和适当的软件及外部设备相结合,便可成为一个单片机控制系统。由于单片机稳定可靠、物美价廉、功耗低,所以单片机的应用日益广泛深入,涉及到各行各业,如工业自动化、智能仪表与集成智能传感器、家用电器等领域。单片机应用的意义绝不仅限于它的广阔范围以及带来的经济效益,更重要的意义在于,单片机的应用正从根本上改变着传统的控制系统的设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分控制功能,现在使用单片机通过软件就能实现了。随着单片机应用的推广普及,单片机控制技术将不断发展,日益完善。因此,本课程设计旨在巩固所学的关于单片机的软件及硬件方面的知识,激发广大学生对单片机的兴趣,提高学生的创造能力,动手能力和将所学知识运用于实践的能力。 中断功能是一种应用比较广泛的功能,它指的是当CPU正在处理某件事情的时候,外部发生了某一件事(如一个电平的变化,一个脉冲沿的发生或定时器计数溢出等)请求CPU迅速去处理,于是,CPU暂时终止当前的工作,转去处理所发生的事件。中断服务处理完该事件以后,再回到原来被中止的地方继续原来的工作,这样的过程称为中断。本文中用到了定时器T0溢出中断,以实现软件延时。脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,脉冲频率等参数。

脉冲信号正常与否的判断

1. 讲废话 最近闲得蛋疼,翻阅了一下以前的项目笔记,想起去年折腾的一个小玩意儿。记得当时是由于项目需要,boss找到我,说主板上有一个单片机,会发出heartbeat信号(就是MCU等一些芯片的心跳信号,只要芯片活着,就会一直发送固定频率的脉冲出去,如果芯片挂了,heartbeat就一直为0或1),能不能用CPLD判断这个信号是否处于正常状态?(说白了,也就是判断单片机是否还活着)。于是着手研究了一下这个heartbeat信号,用示波器测了一下,是一个标准的脉冲,频率为1HZ,占空比为50%,捯饬了两个小时,给出的解决方案是:每3s钟,计算一次上升沿的个数,如果此数值等于0,则heartbeat信号异常(用0表示),否则heartbeat信号正常(用1表示)。 把这个问题和方案贴出来,一是觉得实用性比较强,以前也没有看到有人做过,二是此解决方案对于其他同类问题有启发作用,只要弄懂此个案,其他问题也会触类旁通,易于解决。 现在给出方案的verilog源码,供大家研究,代码已通过项目验证,可靠好用。 2. 晒干货 ps. 带★号处可根据需要进行修改. /*********************************************************************** ******************* Author: Bob Liu E-mail:shuangfeiyanworld@https://www.doczj.com/doc/9b4805615.html, Device: LCMX0256C-3T100C Tool: Diamond 1.1 Function:判断脉冲输入信号是否正常 Version: 2012-1-11 v1.0 ************************************************************************ ******************/ module pulse_in_status ( input CLK, // 基准时钟,50M input pulse_in, // 被测脉冲 output reg pulse_in_status // 指示被测脉冲正常与否,1表示正常,0异常 ); reg [1 :0] temp; // 电平值寄存器 reg [31:0] CNT,CNT2; reg [3 :0] pos_edge; // 上升沿个数 parameter delay = 50_000;

变频器电压检测电路

变频器的电压检测电路(新) ——正弦变频器电压检测实际电路分析 一、电路构成和原理简析 电压检测电路,是变频器故障检测电路中的一个重要组成部分,旨在保障使IGBT 逆变电路的工作电源电压在一特定安全范围以内,若工作电源危及IGBT (包含电源本身的储通电容)器件的安全时,实施故障报警、使制动电路投入工作、停机保护等措施。此外,少数机型还有对输出电压的检测,在一定程度上,起到对IGBT 导通管压降检测的同样作用,取代驱动电路中IGBT 的管压降检测电路。 1、电压检测电路的构成、电压采样方式及故障表现 图1 电路检测电路的构成(信号流程)框图 1、电压检测电路的电压采样形式(前级电路) 1)直接对DC530V 电压采样 78L05C 8 P N 图2 DC530V 电压检测电路之一 直接对P 、N 端DC530V 整流后电源电压进行进行采样,形成电压检测信号。如阿尔法ALPHA2000型变

频器的电压检测电路,如图2所示。 电路中U14线性光耦合器的输入侧供电,由开关变压器的独立绕组提供的交流电压,经整流滤波、由78L05稳压处理得到5V 电源所提供,电源地端与主电路N 端同电位。输出侧供电,则由主板+5V 所提供。 直流回路P 、N 端的DC530V 电压,直接经电阻分压,取得约120mV 的分压信号,输入U14(线性光耦合器,其工作原理前文已述)进行光、电隔离与线性放大后,在输出端得到放大了的检测电压信号,再由LF353减法放大器进一步放大,形成VPN 直流电压检测信号,经CNN1端子,送入MCU 主板上的电压检测后级电路。 2)由开关变压器次级绕组取得采样电路信号 +5V -42V 图3 DC530V 电压检测电路之二 N +5V N1输入电压波形示意图V T 截止 VT 饱合导通 0V 530V 5V 0V -42V N3输出电压波形示意图 压采样等效电路T1 图4 直流回路电压采样等效电路及波型示意图 主电路的DC550V 直流电压检测信号,并不是从主电路的P 、N 端直接取得,而是“间接”从开关电源的二次绕组取出,这是曾经令一些检修人员感到困惑、找不到电压检测信号是从何处取出的一件事情,也成为该部分电路检修的一个障碍。电压采样电路如上图4所示。 在开关管VT 截止期间,开关变压器TRAN 中储存的磁能量,由次级电路进行整流滤波得到+5V 工作电源,释放给负载电路;在VT 饱和导通期间,TC2从电源吸取能量进行储存。 N3二级绕组上产生的电磁感应电压,正向脉冲出现的时刻对应开关管的截止时间,宽度较大,幅值较低,经二极管D12正向整流后提供负载电路的供电,有电流释放回路;反向脉冲出现的时刻对应开关管的饱和导通时间,宽度极窄,但并不提供电流输出,回路的时间常数较大(不是作为供电电源应用,只是由R 、C 电路取得电压检测信号),故能在电容C17上维持较高的幅值。开关管VT 饱合导通时,相当于将N1绕组直接接入530V 电源,因而在同一时刻N3绕组此时所感应的负向脉冲电压,是直接反映N1绕组供电电压高低的,并与其成线性比例关系——N3绕组感应电压的高低,仅仅取决于N1、N3绕组的匝数比。整

脉冲电路设计

脉冲电路脉冲电路的基本知识在数字电路中分别以高电平和低电平表示1状态和0状态。此时电信号的波形是非正弦波。通常,就把一切既非直流又非正弦交流的电压或电流统称为脉冲。图Z1601表示出几种常见的脉冲波形,它们既可有规律地重复出现,也可以偶尔出现一次。脉冲波形多种多样,表征它们特性的参数也不尽相同,这里,仅以图Z1602所示的矩形脉冲为例,介绍脉冲波形的主要参数。(1)脉冲幅度Vm--脉冲电压或电流的最大值。脉冲电压幅度的单位为V、mV,脉冲电流幅度的单位为A、mA。(2)脉冲前沿上升时间tr--脉冲前沿从0.1Vm上升到0.9Vm所需要的时间。单位为ms、μs、ns。(3)脉冲后沿下降时间tf--脉冲后沿从0.9Vm下降到0.1Vm所需要的时间。单位为:ms、μs、ns。(4)脉冲宽度tk--从脉冲前沿上升到0.5Vm处开始,到脉冲下降到0.5Vm处为止的一段时间。单位为:s、ms、μs或ns。(5)脉冲周期T--周期性重复的脉冲序列中,两相邻脉冲重复出现的间隔时间。单位为:s、ms、μs。(6)脉冲重复频率--脉冲周期的倒数,即f =1/T,表示单位时间内脉冲重复出现的次数,单位为Hz、kHz、MHz。(7)占空比tk/T--脉冲宽度与脉冲周期的比值,亦称占空系数。 对电路来说,有个阻抗匹配问题,只有当阻抗匹配时,输出效果才最好,否则,有可能导致负载力不足,导致一旦外加电路,就会把电压拉下了,建议后面加一级运放增大负载能力 交流电源的零交越脉冲电路设计 时间:2012-04-25 14:58:04 来源:作者:本设计中的电路可生成一个交流电源的零交越脉冲,并提供电气绝缘。输出脉冲的下降沿出现在零交越点前约200μs。使用这个电路可以安全地停止一个可控硅栅极的触发,使之有时间正常地关断。只有当主电压约为0V时,电路才产生短脉冲,因此在230V、50Hz输入下只耗电200mW。 电路为电容C1充电,直至达到22V齐纳二极管D3的上限(图1与参考文献1)。电阻R1和R5用于限制输入电流。当输入整流电压降至C1电压以下时,Q1开始导通,产生一个几百微秒长的脉冲。IC1的耦合使得Q1方波发生器作出响应。rms工作电压只需要R1和R5。SMD的1206型电阻一般能承受rms为200V的电压。本设计将R1和R5之间的输入电压一分为二,总额定电压为rms值400V。D3用于将桥的电压限制在22V,因此后面所有元件都有较低的额定电压。22V齐纳管可以箝位在30V,因此本设计使用了一只50V、470nF的陶瓷电容。陶瓷电容较电解电容或钽电容有更好的可靠性,尤其是在高温下。如果愿意使用更便宜更小的25V元件,可以将齐纳管的电压改为18V,仍保有不错的安全边际。R4用于限制LED上的峰值电流。对LED电流的主要限制是整流AC输入的斜率。缓慢的斜率使得C1释放储存的能量时,Q1不会产生电流尖峰。

基于verilog的边沿检测电路

在时序逻辑电路中,少不了“沿”。always块敏感信号中可以通过关键字posedge和negedge来提取信号的上升沿和下降沿。但是如果在程序块内部需要某个信号的上升沿或者下降沿、或者对于按钮触发的模块,由于按钮按下的持续时间很长,相当于一个电平信号,而不是脉冲信号,这时就需要边沿检测电路将其处理成单时钟周期宽度的脉冲信号。下面介绍几种基于verilog的边沿检测电路。(软件平台为quartus11.1,ModelSim-Altera 10.0) 方法1: ①Verilog源码 module detecEdge (clk,rst_n,din,pos_clk,neg_clk,doub_clk); input clk,rst_n,din; output pos_clk,neg_clk,doub_clk; reg ctl_this, ctl_last; always @(posedge clk or negedge rst_n) //同步复位(注意与异步复位的区别) begin if(!rst_n) //低有效 begin ctl_this <= 0; ctl_last <= 0; end else begin //注意非阻塞赋值的作用 ctl_this <= din; //din的当前时钟值 ctl_last <= ctl_this; //din的前一个时钟值 end end //assign pos_clk = (ctl_last == 0 && ctl_this == 1)? 1:0; //上升沿检测 //assign neg_clk = (ctl_last == 1 && ctl_this == 0)? 1:0; //下降沿检测 assign pos_clk = ctl_this & (!ctl_last); //上升沿检测 assign neg_clk = ctl_last & (!ctl_this); //下降沿检测 assign doub_clk = ctl_last ^ ctl_this; //双边沿检测 endmodule ②RTL综合图

喷油脉冲信号.doc

喷油脉冲信号 操作说明 喷油器的驱动器简称喷油驱动器有四种基本类型: 饱和开关型 峰值保持型 博士(BOSCH)峰值保持型 PNP型 喷油脉冲检测操作说明 连接: 用通用探针连接喷油脉冲传感器输出信号线。将一缸信号拾取器夹在一缸高压线上。 操作说明: ●在“电控发动机参数”菜单下点击“喷油脉冲信号”图标,系统即可进入 喷油脉冲传感器波形测试界面,并显示所测得的喷油脉冲传感器波形,如下图所示。 ●用鼠标左键点击“停止”图标(“停止”图标被按下后即变为“测试”图 标),系统即停止测试,再点击此图标即可恢复测试(同时“测试”图标恢复为“停止”图标)。 ●显示的转速、占空比、频率与显示的波形实时对应。 ●在停止状态下可点击“显示调整”图标,在弹出的工具窗口中可对X、Y轴 进行缩放、平移,以便观察。 ●用鼠标左键点击“保存数据”图标可将检测有效结果进行保存。

●用鼠标左键点击“保存波形”图标可将波形保存于指定目录。 ●用鼠标左键点击“图形打印”可对界面有效区域进行图形打印。 ●点击帮助图标可进入帮助系统查看相应技术数据。 ●用鼠标左键点击“返回”图标可返回上级菜单。 喷油脉冲传感器检测 饱和开关型(PFI/SFI)喷油器驱动器

*测试步骤 起动发动机,以2500转/分转速保持油门2-3分钟,直至发动机完全热机,同时燃油反馈系统进入闭环,通过观察屏幕上氧传感器的信号确定这一点。 关掉空调和所有附属电器设备,让变速杆置于停车档或空档,缓慢加速并观察在加速时喷油驱动器喷油时间的相应增加。 A. 从进气管加入丙烷,使混合气变浓,如果系统工作正常,喷油驱动器喷油时间将缩短,它试图对浓的混合气进行修正(高的氧传感器电压)。

(完整版)小目标微弱信号检测电路设计

小目标微弱信号检测电路设计 在靶场测试领域,天幕靶是一种常用的光电触发设备。既可以用作区截装置测量弹丸的飞行速度,也可采用多幕交汇技术测量弹丸的着靶坐标,还可以作为其他设备的测试触发装置。但现有天幕靶灵敏度低、视场小、抗干扰能力差。本文设计了一种小目标微弱信号检测电路,通过光电二极管进行光电信号转换,并且设计了信号放大电路与滤波处理, 有效地滤除了干扰信号, 提高了天幕靶抗干扰能力。 硬件设计 整体流程图如下图所示,光电探测器将接收到的光信号转换为电信号,并通过前置放大电路与主放大电路进行信号放大,电压比较器可以将电信号转换成脉冲,经过滤波电路将干扰信号去除后送入单片机的中断控制口,单片机产生中断,处理中断程序,然后会有脉冲输出,脉冲经过信号输出电路进行整形,由于输出信号需要进行长距离的传输,因此需要驱动电路将信号驱动。图1为整体设计硬件原理图。

图1 整体设计硬件原理图 光电转换电路 利用可见光探测器单元硅PIN光电二极管作为光电转换期间来完成光信号到电信号的转换。这种器件体积小而且响应速度快,被广泛的应用于光电检测。光电二极管是半导体产品,当它受到光照时会产生电流或电压。它们没有内置增益,但与其他类型的光子探测器相比却有着更大的动态范围。本电路设计采用20只光电二极管连接起来形成阵列。图2为其中的两路设计,其余各路连接方法相同。其中LM7812为电源稳压芯片,保证输出稳定的电压,R1、R2为采样电阻,电容C5与C6主要用于交流耦合。

图2 光电转换电路 前置放大电路 光电前置放大电路如图3所示, 电路在光电转换电路和放大器的输出之间加一个由R3和C7组成的RC滤波电路, 这样就限制了放大器输出信号的带宽, 滤掉了经过放大的噪声和放大器本身的噪声。电容C8 用来补偿RC滤波环节引起的相角滞后,电容C9用来补偿放大电路输入端的复合电容引起的相角滞后, 控制噪声增益的峰值。

电流检测电路的检修

第六章电压及温度检测电路的检修 一、电压检测电路对哪里进行检测? 1、主回路电压:P、N上的电压值(530V)情况进行检查 2、控制电压进行检测(从哪里取的这个信号呢?) 二、电压检测电路的信号从哪里采集的? 1、从直流回路P、N上取 2、从开关电源变压器的二次绕组的整流电压取 3、检测三相输入电压的状态 4、检测充电接触器的工作状态 三、故障代码 1、过电压-OU 2、欠电压-LU 3、输入电源缺相- 4、直流回路电压过低- 5、充电接触器未闭合- 6、控制回路电压故障- 四、典型故障特征 1、直流回路530V的电压检测电路本身故障时,变频器上电或运行过程中,报 “过电压”、“欠电压”故障 2、充电接触器接触不良或后续控制电路故障(接触器至CPU的电路传输?), 变频器上电后报“主回路接触器故障” 3、输入电源检测电路故障时,上电后报“输入电源缺相” 4、输出电压/频率检测电路异常时,运行中报“输出断相” 5、控制电压异常,上电时报“控制电压异常” 五、直流回路电压检测电路---之一(电压检测电路信号采在P、N上)

A7840构成的直流回路电压信号检测电路: 1、综述 电压采样信号直接取自直流回路的P、N端的530V直流电压,经电阻降压、分压网络,将分压所得mV级电压信号,加到小信号处理光电耦合器A7840(U14)的2、3输入脚上,经U14实施强、弱电隔离后,形成差分信号输入到LF353运算放大器的2、3脚,本级电路接成电压跟随器,输出信号由电位器中心头(线路板上厂家标注测试点VPN)输出至CPU主板与电源/驱动板的排线端子CNN1的8脚。在三相输入电压为380V时,8脚采样直流电压为3V(该点至关重要)。 2、A7840 A7840的输入侧供电,是由开关变压器的一个独立绕组的交流电压,经D41、C46等整流滤波,由集成稳压器78L05稳压成5V提供的;输出侧供电,则采用CPU 主板供电电源+5V。 3、两路处理信号 检测信号一路经过R174给CPU模拟电压信号,供面板显示电压值

脉冲边沿检测器

河北理工大学轻工学院信息科学技术部 课程设计说明书 设计题目脉冲边沿检测器 班级 姓名 学号 指导教师 年月日

时间地点电工电子实验中心 课程设计内容 一、课程设计题目 脉冲边沿检测器。 二、课程设计要求 1开关A为复位键。 2开关B为单脉冲输入端,可先输入负脉冲,也可先输入正脉冲。 3由红灯来表示正脉冲,绿灯表示负脉冲,且复位前指示灯一直亮。 4复位后,可重新检测。 三、课程设计目的 1通过实验了解掌握脉冲边沿检测电路的工作原理以及设计方法。 2熟悉TTL集成JK触发器74LS73和集成或非门74LS02的使用方法。 四、课程设计任务分析 根据课程设计要求,检测输入脉冲为正脉冲或负脉冲。脉冲指电子电路中的电平状态突变,既可以是突然升高(脉冲的上升沿),也可以是突然降低(脉冲的下降沿).即正脉冲就是脉冲的上降沿;负脉冲就是脉冲的下降沿。由此可知课程设计任务为检测脉冲跳变情况。并用发光二极管表示出来。 五、课程设计方案论证 1.通过任务分析,确定课程设计所需器件为 74LS73 双JK触发器 74LS02 四-2输入或非门 红、绿发光二极管 适当的电阻 2考虑到经济适用原则,设计出下列既简单又实用的设计结果。(电路图见课程设计内容)该设计结果可以识别脉冲的第一个跳变沿究竟是上升沿还是下降沿(既确定是正脉冲或负脉冲),并能将结果显示出来。该电路所能检测的TTL脉冲信号的最小宽度可达50ns,由于电路中只用了两片集成电路,在校验和调试数字电路时,可以很方便地装在一个探头里。该电路选用单刀双掷开关来代替单脉冲发生器,使电路更加

简单,容易操作。 所以确定该课程设计为最优结果。 六、单元电路设计与元器件选择 1、单脉冲产生电路 用74LS00四2输入与非门组成的RS触发器制作一个单脉冲产生电路,用于产生测试脉冲。电路图如下 74LS00管脚图 单脉冲产生电路 2、74LS73双JK触发器引脚图如下

丢失周期脉冲信号的检测电路

在科学研究和生产实践当中,周期脉冲信号是很常见的。如何检测周期脉冲信号的丢失,或因故障丢失周期脉冲信号需要报警的情况也是经常碰到的。本文给出了解决这类问题的办法,并通过理论分析给出了检测周期脉冲信号丢失的实用电路。 1 可重触发的单稳态电路 众所周知,所谓单稳态是指电路只有一个稳定状态,另一个是暂稳态。如电路输出稳定状态为低电平L,当输入信号到达后,电路输出变为高电平H,但是高电平状态只是暂时的,过了一定时间后它又自动回到稳定状态L。输入i u 的周期T小于输出 o u 的脉冲宽度W T 。(由W T 电路定时元件的 参数决定,定时元件参数不变,输出o u 的脉冲宽度W T 就不变),当电路在暂稳态期间若再来输入脉冲,输入脉冲对电路不起作用,只有当电路回到稳态后,再来输入脉冲信号才能触发单稳态再次动作。此种电路称为不可重复触发的单稳态电路。单稳态电路的作用一般是定时、延时、和波形整形。定时、延时的时间就是W T 。 当单稳态电路在暂稳态期间若再来输入脉冲,输出从此时刻开始再延迟W T 的宽度,此种单稳态电路为可重复触发的单稳态电路。可重复触发的单稳态电路若i u 为周期脉冲信号,且其周期T小于W T ,只要输入信号i u 正常,则o u 一直是暂稳态,这种情况输入i u 和输出o u 的波形如图(1)所示。 丢失周期脉冲信号的检测电路 许立新 李金民 (西京学院 西安 710123) 摘 要:本文通过对可重触发的单稳态电路的分析,得出了只要可重触发单稳态触发器的输出脉冲宽度大于输入周期脉冲信号的周期T,就可用可重触发的单稳态触发器构成检测周期脉冲信号丢失的电路。本文用芯片CD4538给出了实用的丢失周期脉冲信号的检测和报警电路。关键词:周期脉冲信号 单稳态 可重单稳态中图分类号:TN78文献标识码:A文章编号:1674-098X(2010)04(b)-0068-02 2 丢失周期脉冲信号的检测电路实例 利用可重复触发的单稳态电路可以构成丢失周期脉冲信号的检测电路。可重复触发的单稳态电路有多种,CD4538是双可重复触发的单稳态集成芯片,它的引脚排列如图(2)所示。 查CD4538的功能表知,端为清“0”端,低电平有效,它的稳定状态是Q=L,Q =H,当CLR =H,B输入端接高电平时,A 输入端来一个脉冲上升沿,则Q=H,Q =L,电路进入暂稳态。CD4538的W T 决定外接的定时元件Rext和Cext,其 W T =Rext﹒Cext(1) 若输入周期脉冲信号i u 的周期是T,可重触发单稳的输出脉冲宽度为W T ,当 W T =1.5T左右时,只要输入i u 的周期脉冲 正常,则输出o u 就一直处在高电平状态(暂稳态)如图(1)所示。假设i u 的第4个脉冲丢失,第5个脉冲又正常,则输入i u 与输出o u 的波形如图(3)所示(图示为i u 从CD4538的A端输入,i u 需要正的窄脉冲)。由图(3)的波形知,由于第4个周期信号丢失,单稳态电路又回到稳态低电平,当第5个输入脉冲再来时,输出o u 又为暂稳态高电平,据此可以 将丢失的周期脉冲信号检测出来。 如某自动工作的冲床,每3秒钟冲压一个工件,通过光电传感器使冲压工件的个数转换成脉冲数,每冲压一个工件,通过光电转换电路产生一个计数脉冲,计数脉冲的周期T=3S。若间隔4.5S左右未来脉冲信号,说明工作不正常,应该报警。由CD4538构成的报警电路如图(4)所示。图(4)中计数部分用四位计数,锁存译码驱动,显示电路构成(图中未画出具体电路)。周期脉冲的上 升沿触发计数器。经光电转换,放大整形后的信号1i u 的周期T=3S,若1i u 的脉冲宽度tp=0.2s,经过RC微分电路及二极管D的限幅作用后,得到周期T=3S的正尖脉冲信号 i u (如图(3)中的i u ) 微分电路参数的选择原则是 τ=RC<<tp(2) 本例选C=1F μ,R=20 ? K ,可以满足式 (2)的要求。 图1 图2图3 (下转70页)

FPGA中脉冲边沿检测(Verilog)

脉冲边沿检测(Verilog) 在很多时候都要对输入脉冲进行边沿检测,如PS/2时序,ps2_data数据在ps2_clk时钟下降沿接收。 边沿检测Verilog程序代码: module DetecEdge(clk,ps2_clk,rst_n,pos_ps2_clk,neg_ps2_clk); input clk; //输入时钟 input ps2_clk; //输入要检测边沿的脉冲 input rst_n; //复位信号 output pos_ps2_clk; //上升沿标志位 output neg_ps2_clk; //下降沿标志位 reg ps2_clk_r0,ps2_clk_r1,ps2_clk_r2; //ps2_clk状态寄存器 always@(posedge clk or negedge rst_n) if(!rst_n) begin ps2_clk_r0<=1'b0; ps2_clk_r1<=1'b0; ps2_clk_r2<=1'b0; end else//锁存状态 begin ps2_clk_r0<=ps2_clk; ps2_clk_r1<=ps2_clk_r0; ps2_clk_r2<=ps2_clk_r1; end assign pos_ps2_clk=(~ps2_clk_r2)&ps2_clk_r1; //上升沿检测 assign neg_ps2_clk=ps2_clk_r2&(~ps2_clk_r1); //下降沿检测 endmodule 布线布局后仿真波形如下图:

可以注意到其中的移位寄存器用了非阻塞赋值(<=) ps2_clk_r0<=ps2_clk; ps2_clk_r1<=ps2_clk_r0; ps2_clk_r2<=ps2_clk_r1; 如果用阻塞赋值的话,综合的时候会把其中两个寄存器去点,用阻塞赋值(=) ps2_clk_r0=ps2_clk; ps2_clk_r1=ps2_clk_r0; ps2_clk_r2=ps2_clk_r1; 会出来这样的警告: WARNING:Xst:646 - Signal is assigned but never used. Register equivalent to has been removed Found 1-bit register for signal . WARNING:Xst:2677 - Node of sequential type is unconnected in block . 从RTL可以看到,只剩ps2_clk_r1一个D触发器。 上图是非阻塞赋值综合后的RTL,可以看出,有三个D触发器做移位寄存器。通过移位,对边沿进行检测。

传感器脉冲信号处理电路设计

传感器脉冲信号处理电路设计 摘要 介绍了一种基于单片机平台,采用霍尔传感器实施电机转速测量的方法,硬件系统包括脉冲信号产生,脉冲信号处理和显示模块,重点分析,脉冲信号处理电路,采用c 语言编程,通过实验检测电路信号。 关键词:霍尔传感器;转速测量;单片机

目录 1 绪论 (1) 1.1 课题描述 (1) 1.2 基本工作原理及框图 (1) 2 相关芯片及硬件电路设计 (1) 2.1系统的主控电路 (1) 2.2 STC89C52单片机介绍 (2) 2.2.1 STC89C52芯片管脚介绍 (3) 2.2.2 时钟电路 (4) 2.3 单片机复位电路 (5) 2.4 霍尔传感器电机采样电路 (5) 2.4.1 A3144霍尔开关的工作原理及应用说明 (6) 2.4.2 霍尔传感器测量原理 (7) 2.5 电机驱动电路 (8) 2.6 显示电路 (8) 3 软件系统设计 (9) 3.1 软件流程图 (9) 3.2 系统初始化 (10) 3.3 定时获取脉冲数据 (11) 3.4 数据处理及显示 (12) 3.5 C语言程序 (13) 总结 (16) 致谢 (17) 参考文献 (18)

1 绪论 1.1 课题描述 在工农业生产和工程实践中,经常会遇到各种需要测量转速的场合,测量转速的方法分为模拟式和数字式两种。模拟式采用测速发电机为检测元件,得到的信号是模拟量,控制系统的硬件部分非常复杂,功能单一,而且系统非常不灵活、调试困难。数字式通常采用光电编码器、圆光栅、霍尔元件等为检测元件,得到的信号是脉冲信号。单片机技术的日新月异,特别是高性能价格比的单片机的出现,转速测量普遍采用以单片机为核心的数字式测量方法,使得许多控制功能及算法可以采用软件技术来完成。采用单片机构成控制系统,可以节约人力资源和降低系统成本,从而有效的提高工作效率。本课题,是要利用霍尔传感器来测量转速。由磁场的变化来使霍尔传感器产生脉冲,由单片机计数,经过数据计算转化成所测转速,再由数码管显示出来。 1.2 基本工作原理及框图 本课程设计的电机采用直流电机,然后利用霍尔传感A3144对电机的转速进行采样从而输出脉冲信号。主控芯片采用STC89C52单片机,对脉冲个数进行计数并经过数据处理以后得到单位时间内电机转过的转数机电机的转速,再通过显示电路将电机转速显示出来。基本工作原理框图如图1所示。 图1基本工作原理框图 2 相关芯片及硬件电路设计 2.1系统的主控电路 图2是该系统的主控单元的电路图。J2、J3、J4、J5是单片机的I/O端口的扩展,预留接口用于调试等。主控芯片采用STC89C52单片机,该系统中采用定时器0作为定时器,定时器的时间为1S。定时器1作为计数器,对P35引脚采集到的脉冲信号进行计数操作,单片机然后对数据进行处理,计算出1S内计数脉冲的个数,即电机转速。然后通过显示电路将电机转速显示出来,从而实现整个系统的功能。

脉冲检测电路

如图所示为脉宽检测电路。该检测电路由微分电路(R2、C2)、放大电路BG1、单稳定时电路(555、R1、C1)等组成。输入的脉冲信号Vin(如波形A)一路加至微分、放大电路,另一路经R4后加至BG2的集电极电路。经微分放大后的负向脉冲(如波形B)触发555电路置位,使其③脚输出一定宽度的正向脉冲(如波形C),其脉宽即为单稳电路的定时时间td=1.1R1C1(秒),且该正向脉冲加至BG2的基极,故在检测期间,BG2饱和导通,其集电极(即电路输出端V0)呈低电平。若被检测的脉宽大于设定的脉宽td,则因BG2的集电极加压的时间大于基极偏置时间td,V0出现高电平,这说明被检测脉宽超过设定时间了。 基于NE555的脉冲丢失检测电路 发布:2011-09-27 | 作者:—— | 来源: jiaoyouhao | 查看:550次 | 用户关 注:检测电路 NE555定时器IC可以检测一个两个连续脉冲的脉冲列车之间的脉冲丢失或异常长的时间。这种电路可用于检测的汽车火花塞的间歇发射或监视一个生病的病人心脏的跳动。挑了传感器的信号的形状形成一个负脉冲,并应用到这是作为一个单声道稳定连接的集成电路的引脚2。只要脉冲之间的间距不到的时间间隔,时间周期不断复位输入脉冲电容器是通过T1出院。在减少脉冲频率或脉冲丢失许可证完成的时间间隔,这会导致产出水平的变化。 NE555定时器IC可以检测一个两个连续脉冲的脉冲列车之间的脉冲丢失或异常长的时间。这种电路可用于检测的汽车火花塞的间歇发射或监视一个生病的病人心脏的跳动。 挑了传感器的信号的形状形成一个负脉冲,并应用到这是作为一个单声道稳定连接的集成电路的引脚2。只要脉冲之间的间距不到的时间间隔,时间周期不断复位输入脉冲电容器是通过T1出院。在减少脉冲频率或脉冲丢失许可证完成 的时间间隔,这会导致产出水平的变化。

光电编码器脉冲检测电路设计

2009年 第10期 仪表技术与传感器 Instrum ent Techn i que and Sensor 2009 No 110 收稿日期:2008-10-28 收修改稿日期:2009-06-28 光电编码器脉冲检测电路设计 马有良,任 同 (西南科技大学制造科学与工程学院,四川绵阳 621010) 摘要:螺纹加工是数控车床重要的加工功能,要保证螺纹的加工精度,必须准确的获取主轴角位置信号。为此设计了螺纹脉冲检测电路,其中整形电路采用S N75115双重微分接受器去除共模干扰,鉴相及同步控制用74LS74D 触发器组成,也解决了低速大螺距加工问题。该电路经实际应用验证是可靠的。关键词:光电编码器;螺纹;脉冲计数;电路设计 中图分类号:TP212 文献标识码:A 文章编号:1002-1841(2009)10-0076-02 Desi gn ofM easure C ircu it for O u tput Pu lse i n O pto 2electr ic En coder MA You 2li ang ,REN Tong (C o llege ofM anufac tur ing S cien ce and Engineer ing ,Sou thwest Un iver sity of Science and T echnology ,M ianyang 621010,C h i na ) Abstr a ct :The f unc tio n of the screw t h read process i n NC lat he is i m portant .To make sure the prec isi on of the screw t hread process ,obtain t he si gnal of angle place on pr i ncipa l axis exactl y i s necessa ry .So this paper desi gned the e lectrocircu it of the m eas 2ure si gna,l pulse shap i ng circu itwas ab l e to filter out i nterference w it h SN75115dua l d ifferen tiator ,phase de m o dulator and synchro 2n i zer circu it is co m posed of D F li pF lop .Si m ultaneity the pro b l em of the l o w speed m ach i ni ng for coarse p itch was solved .The prac 2ti ca l appli catio n of the circu it is re liab le . K ey word s :opto 2e l ec tric encode r ;screw thread ;pulse coun ting ;desig n of c ircuits 0 引言 在位置控制系统中,为了提高控制精度,准确测量控制对象的位置是十分重要的。测量控制对象的直线位移变化可采用光电编码器作为位移检测传感器。数控车床螺纹加工是依据检测到的主轴旋转信号,控制步进电动机的进给,实现车螺纹所要求的比例关系,切削出符合要求的螺纹。在光电编码器检测电路设计时,应解决3个问题:计数和判向电路设计;同步控制电路;抗干扰电路。为解决这3个问题,提出检测电路设计思想,并结合实际出现的问题,提出具体改进方法。1 数控车床螺纹加工原理 在数控车床中,一般采用光电编码器为主轴脉冲发生器,与主轴同步旋转,采集的主轴位置信号经微机数控系统处理后驱动机床工作台运动。主轴脉冲发生器送出2组信号脉冲,一组为计数脉冲,每转送出2048个脉冲,另一组为同步脉冲,每转送出一个脉冲。车削螺纹时,数控系统检测到SC 同步信号到来时开始切削,否则处于等待状态。这样就保证每次切削的初始位置在被加工工件圆周的某一定点位置上,防止了多次切削乱扣现象发生 [1] 。 在螺纹加工状态下,微机系统在接到同步脉冲信号后,开始读取计数脉冲,均匀地在这串脉冲中取出F 个脉冲值,使之满足数控程序中规定的F 值,以它作为中断信号来控制插补速度,使伺服驱动在Z 方向进给F 值,达到主轴每转一周,刀架在Z 方向上移动一个螺距的目的[2]。 在数控系统中,完成螺纹加工的要素为:主轴转速n 和螺 纹导程t ,进给速度F =nt .在加工螺纹时,有资料推荐的主轴转速n [(1200/t -K )r /m i n .K 是保险系数,一般取80。 同步脉冲极为重要,它是保证在螺纹加工中不产生乱扣的唯一控制信号。2 脉冲信号检测2.1 进给脉冲信号检测 当控制对象位置发生变化时,光电编码器便会输出S A 、SB 2路相位相差90b 的数字脉冲信号。当编码器正转时SA 超前SB 为90b ,反转时SB 超前S A 为90b ,脉冲的个数与位移变化量成比例关系。因此,通过对脉冲个数计数就能计算出相应的位移[3]。图1是系统脉冲检测计数电路设计原理框图。 图1 基本脉冲计数原理框图 S A 、SB 2路脉冲通过整形、鉴相得到计数脉冲,再根据SC 、SD 同步控制,送往CTC 。2.2 同步脉冲信号检测 在螺纹加工中,正确的检测出同步脉冲信号SC 极为重要,螺纹加工一般须经过多次反复切削才能完成,为了保证加工精度,数控系统必须控制螺纹刀每次切削的起始位置和切削相位,保证每次切削都在螺纹的同一切削点进行。起始位置一般由脉冲计数电路及相应软件来保证其复位精度,切削相位则由 同步脉冲信号SC 决定。

相关主题
文本预览
相关文档 最新文档