当前位置:文档之家› 数字逻辑课程设计-电梯控制系统

数字逻辑课程设计-电梯控制系统

数字逻辑课程设计-电梯控制系统
数字逻辑课程设计-电梯控制系统

华中科技大学课程设计报告

数字电路与逻辑设计

课程设计报告

题目:电梯控制器的设计与实现

专业:

班级:

学号:

姓名:

电话:

邮件:

分组:

完成日期:

指导教师:

华中科技大学课程设计报告团队成员:

华中科技大学课程设计报告

目录

1课程设计概述 .........................................................................................................................................

1.1课设目的 ............................................................................................................................

1.2课设要求 ............................................................................................................................

1.3课设任务 ............................................................................................................................

1.4实验环境 ............................................................................................................................ 2基本方案设计 .........................................................................................................................................

2.1设计需求 ............................................................................................................................

2.2总体结构设计 ....................................................................................................................

2.2.1电梯状态判断模块 ..........................................................................................................

2.2.2电梯上下楼控制模块 ......................................................................................................

2.2.3电梯开关门模块 ..............................................................................................................

2.2.4电梯升降模块 ..................................................................................................................

2.2.5电梯指示灯模块 ..............................................................................................................

2.2.6电梯数码管显示模块 ......................................................................................................

2.3详细设计 ............................................................................................................................

2.3.1电梯状态判断模块 ..........................................................................................................

2.3.2电梯上下楼控制模块 ....................................................................................................

2.3.3电梯开关门模块 ............................................................................................................

2.3.4电梯升降模块 ................................................................................................................

2.3.5电梯指示灯模块 ............................................................................................................

2.3.6电梯数码管显示模块 ....................................................................................................

2.3.7实验过程与调试 ..............................................................................................................

2.3.8真结果 ........................................................................................................................

2.3.9主要故障与调试 ............................................................................................................

华中科技大学课程设计报告

1课程设计概述

1.1课设目的

通过硬件描述语言VHDL 的编程,深入了解并掌握可编程芯片PLD 的设计技术,加强学生对《数字逻辑》课程所学知识综合利用的能力。培养学生创造性思维能力和独立解决实际问题的能力。

1.2课设要求

(1)能够全面地应用课程中所学的基本理论和基本方法,完成从设计逻辑电路到设计简单数

字系统的过渡。

(2)能力独立思考、独立查阅资料,独立设计规定的系统。

(3)能够独立地完成实施过程,包括安装、布线、测试和排除故障。

1.3课设任务

(1)制定出详细设计方案;

(2)通过Verilog HDL 完成规定的设计任务,然后进行编译和仿真,保证设计的正确性;

(3)生成容丝图文件,下载到Basys2 开发板,通过实际线路进行验证;

(4)对复杂系统的设计采取模块化、层次化的设计方法;

(5)撰写设计报告,并对存在的问题进行分析、提出改进意见。

1.4实验环境

(1)Basys2 开发板(芯片为XC3S100E,封装为CP132)1 套;

(2)Xilinx_ISE 设计仿真软件 1 套;

(3)计算机 1 台。

华中科技大学课程设计报告

2基本方案设计

2.1设计需求

随着科学技术的发展以及城市化建设,越来越多的高楼林立在城市之间,对于电梯的需求也

愈发强烈。因此,一部安全可靠的电梯逐渐成为人们热议的话题,而我们要设计的电梯控制系统,能实现各种用户需求,并且安全可靠,足以适应当前社会发展的要求。

2.2总体结构设计

本电梯控制器系统包含:

1)电梯状态判断模块

2)电梯上下楼控制模块

3)电梯开关门模块

4)电梯升降模块

5)电梯指示灯模块

6)电梯数码管显示模块

共六个模块。

电梯分为以下四个状态:

1)关门等待状态

i.此时,电梯门关闭且停在某一楼,等待用户请求。

2)电梯上行状态

i.电梯此刻处于向上运行状态。

3)电梯下行状态

i.电梯此刻处于向下运行状态。

4)开门状态

i.此时,电梯门打开,同时进行关门倒计时。

2.2.1电梯状态判断模块

该模块用于获取电梯此刻的状态,并根据用户请求进行相应状态转变。

输入:openButton——电梯开门按键

华中科技大学课程设计报告 powerButton——电梯电源按键

targetFloor——用户请求的目标楼层

输出:

liftState——电梯状态

2.2.2电梯上下楼控制模块

该模块用于获取用户请求,判断电梯是否到达目标楼层以及进行计时器设置。输入:

powerButton——电梯电源键

resetButton——电梯重置按键

infloorButton1——电梯内部1 楼按键

infloorButton3——电梯内部3 楼按键

infloorButton6——电梯内部6 楼按键

infloorButton8——电梯内部8 楼按键

outfloorButton1——电梯外部1 楼按键

outfloorButton3——电梯外部3 楼按键

outfloorButton6——电梯外部6 楼按键

outfloorButton8——电梯外部8 楼按键

liftState——电梯状态输出:

targetFloor——目标楼层

clkFloor——电梯楼层间运行速率

华中科技大学课程设计报告 clkLight——电梯运行灯

runTime——电梯运行时间

2.2.3电梯开关门模块

该模块用于处理用户开关门请求并进行关门倒计时

输入:powerButton——电梯电源按键

openButton——电梯开门按键

closeButton——电梯关门按键

liftState——电梯状态

输出:

doorTime——关门时间

doorState——电梯门状态

doorLight——电梯门灯

2.2.4电梯升降模块

该模块进行电梯上升、下降处理

输入:liftState——电梯状态

输出:currentFloor——当前楼层

2.2.5 电梯指示灯模块

该模块用于电梯运行时,控制上行灯、下行灯亮与灭

输入:liftState——电梯状态

输出:upLight——电梯上行灯

ownLight——电梯下行灯

华中科技大学课程设计报告runState——电梯运行状态

2.2.6电梯数码管显示模块

该模块用于显示电梯运行时间、关门时间、当前楼层

输入:

currentFloor——当前楼层

runTime——运行时间

doorTime——关门时间

输出:

eightDecode——八段数码显示管

sevenF、sevenJ、sevenM、sevenK——数码扫描管显示

2.2.7 总控制模块

结合个子模块,共同实现电梯功能

华中科技大学课程设计报告2.3详细设计

顶层模块——将各个子模块有机结合起来,以实现各个功能

2.3.1 电梯状态判断模块

本模块用于处理电梯各种运行状态并进行设置

华中科技大学课程设计报告开

电梯运行2.3.2 电梯上下楼判断模块

本模块用于处理用户楼层请求、以及判断是否到达目标楼层

华中科技大学课程设计报告

华中科技大学课程设计报告2.3.3 电梯开关门模块

华中科技大学课程设计报告本模块用于电梯静止时运行状态

华中科技大学课程设计报告2.3.4 电梯升降模块

2.3.5电梯指示灯模块

本模块用于指示电梯上下运行状态

华中科技大学课程设计报告2.3.6 电梯数码管显示模块

2.4实验过程与调试

2.4.1模块内部图

1、主模块

华中科技大学课程设计报告

输入:

clk:时钟端

powerButton:电源开关 resetButton:

重置开关

openButton:强制开门

closeButton:强制关门

infloorButton1:一楼内部开关

infloorButton3:三楼内部开关

infloorButton6:六楼内部开关

infloorButton8:八楼内部开关

outfloorButton1:一楼外部开关

outfloorButton3:三楼外部开关

华中科技大学课程设计报告outfloorButton6:六楼外部开关

outfloorButton8:八楼外部开关

输出:

eightDecode:七段显示译码器

flashLight:电梯运行闪烁灯

doorLight:开门显示灯

upLight:上升指示灯

floorLight1:一楼指示灯

floorLight3:三楼指示灯

floorLight6:六楼指示灯

floorLight8:八楼指示灯

sevenF,sevenJ,sevenM,sevenK:数码管扫描指示

2.4.2仿真结果

1、电梯状态判断模块仿真文件如下:

module test;

// Inputs reg clk;

reg powerButton;

reg resetButton;

reg openButton;

r eg closeButton; reg

infloorButton1; reg

infloorButton3; reg

infloorButton6; reg

infloorButton8; reg

outfloorButton1; reg

outfloorButton3; reg

华中科技大学课程设计报告outfloorButton6; reg

outfloorButton8;

// Outputs wire [7:0]

eightDecode; wire

flashLight; wire

doorLight; wire upLight;

w ire downLight; wire

floorLight1; wire

floorLight3; wire

floorLight6; wire

floorLight8; wire sevenF;

w ire sevenJ; wire sevenM;

w ire sevenK;

always begin

#5;

clk = ~clk;

end

always

begin

#5;

infloorButton1 = ~infloorButton1;

end

always

begin

#10;

outfloorButton3 = ~outfloorButton3;

end

always

华中科技大学课程设计报告begin

#15;

outfloorButton6 = ~outfloorButton6;

end

always

begin

#20;

infloorButton8 = ~infloorButton8; end

i nitial begin //

Initialize Inputs clk

= 0; powerButton

= 1; resetButton =

0; openButton = 0;

closeButton = 0;

infloorButton1 = 0;

infloorButton3 = 0;

infloorButton6 = 0;

infloorButton8 = 0;

o utfloorButton1 = 0;

o utfloorButton3 = 0;

o utfloorButton6 = 0;

o utfloorButton8 = 0; end

endmodule

仿真结果:

华中科技大学课程设计报告

2、电梯上下楼判断模块

仿真文件如下: module

test;

// Inputs reg clk; reg

powerButton; reg

resetButton; reg

openButton; reg

closeButton; reg

infloorButton1;

reg infloorButton3; reg

infloorButton6; reg

infloorButton8; reg

outfloorButton1; reg

outfloorButton3; reg

outfloorButton6; reg

outfloorButton8;

// Outputs wire [7:0]

eightDecode; wire

flashLight; wire

电梯控制电路设计

- 电子技术课程设计 成绩评定表 设计课题:电梯控制电路设计 学院名称: 专业班级: 学生: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:电梯控制电路设计 专业班级: 学生姓名: 学号: 指导教师: 课程设计地点: 课程设计时间:2014-7-7~2014-7-14 电子技术课程设计任务书

目录

一.课程设计目的 (5) 二.课程设计的容及要求 (5) 2.1课程设计容 (5) 2.2课程设计要求 (5) 三.正文部分 (7) 3.1按键控制模块................................................................................................... (7) 3.1.1目标楼层号按钮编码电路................................................. (7) 3.1.2比较制动电 路........................................................................................... (10) 3.1.3与逻辑起动控 制........................................................................................... .. .11 3.2数码管显示模块 (12) 3.2.1显示译码器组成电路如下 (12) 3.2.2 CD4510(可逆计数器)组成电路 (16) 3.3脉冲发生器模块 (17) 3.3.1、0.2S脉冲发生器 (17) 3.3.2、6S脉冲发生器 (18) 3.4、LED滚动显示模块 (19)

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

三层电梯控制电路(word文档良心出品)

三层电梯控制电路设计 .设计要求 每层电梯入口处设有上下请求开关, 电梯内设有顾客到达层次的停站请 设有电梯入口处位置指示装置及电梯运行模式 (上升或下降)指示装置。 电梯 每秒升(降)一层楼。 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开 门4秒 后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请 求信号后停留 在当前层。 5. 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请 求信号保 留至执行后消除。 6. 电梯运行规则一当电梯处于上升模式时, 楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕; 下楼请求,则相反。 7. 电梯初始状态为一层开门状态。 二. 设计目的 电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用 来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性 电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯 控制 器实现的基础。 三. 控制器的设计方案. 控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状 态显示 器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层, 通过主控 制器的处理,电梯开始运行,状态显示器显示电梯的运行状态, 电梯所在楼层数 通过译码器译码从而在楼层显示器中显示。 分控制器把有效的请求传给主控制器 进行处理,同时显示电梯的运行状态和电梯所在楼层数。 由于分控制器相对简单 很多,所以主控制器是核心部分。 1. 求开 关。 2. 3. 4. 只响应比电梯所在位置高的上 如果咼层有 VHDL 语言 ,通过对三层 图1.电梯控制器原理图

EDA电梯控制器课程设计报告

成绩评定表

课程设计任务书

摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。 电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。 关键词:EDA技术电子信息通信自动控制

目录 1、设计要求概述 (1) 1.1 设计要求 (1) 1.2 总体设计思路 (1) 1.3 具体设计思路 (2) 2、功能模块整体结构设计 (2) 2.1 电梯控制器功能 (2) 2.2 电梯控制器设计 (3) 3、各模块详细设计 (3) 3.1底层模块设计 (3) 3.2 顶层模块设计 (6) 4、逻辑仿真与时序仿真的实现 (12) 4.1 底层设计模块的方针及参数设置 (12) 4.2 电梯分层控制模块的仿真及参数设置 (13) 4.3电梯主控制器仿真波形 (15) 5、设计结论 (15) 5.1 设计功能实现情况 (15) 5.2 设计心得 (16) 6、参考文献 (17)

1 电梯控制器的设计要求与设计思路 1.1 设计要求 十层电梯控制器的功能电梯控制器是控制电梯按顾客要求自动上下的装置。 设计要求如下: (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒升(降)一层楼 (4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 (5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则——当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到有下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反,只响应比电梯所在位置低的下楼请求信号,由下而下逐个执行,直到最后一个下楼请求执行完毕;如果低层有上楼请求,则直接降到有上楼请求的最低楼层,然后进入上升模式。 (7)电梯初始状态为一层开门状态 1.2 总体设计思路 实验模拟生活中电梯运动控制,电梯总共十层,最简单的控制思想如下: (1)采集用户呼叫楼层,并放入相应的记忆单元中。 (2)不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层,再下行直到到达最低层,由此构成一次行程。 (3)每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行。其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示

PLC课程设计四层电梯控制(1)

PLC 课程设计四层电梯控制 实训目的 1. 掌握复杂输入输出控制系统的程序编程技巧 2. 掌握四层电梯控制系统的接线、调试、操作 序号 名 称 型号与规格 数量 备注 1 实训装置 THPFSL- 2 1 2 实训挂箱 A19-1 1 3 导线 3号 若干 4 通讯编程电缆 SC-09 1 二菱 5 实训指导书 THPFSL-1/2 1 6 计算机(带编程软件) 1 自备 电梯的电气控制系统由控制装置,操纵装置,平层装置,和位置显示装置等部分组成。 其中控制装置根据电梯的运行逻辑功能要求,控制电梯的运行,设置在机房中的控制柜上。 操纵装置是由轿厢内的按钮箱和厅门的召唤箱按钮来操纵电梯的运行的。 平层装置是发出平 层控制信号,使电梯轿厢准确平层的控制装置。 所谓平层,是指轿厢在接近某一楼层的停靠 站时,欲使轿厢地坎与厅门地坎达到同一平面的操作。 位置显示装置是用来显示电梯所在楼 层位置的轿内和厅门的指示灯,厅门指示灯还用尖头指示电梯的运行方向 四、 控制要求 1. 总体控制要求: 电梯由安装在各楼层电梯口的上升下降呼叫按钮 ( U1、U2、D2、 UP DO 邮J SL4 SL3 SL2 SL DM4 LP3 S4 □ S2 I S3 □ $1 □ 1關 1 f ] S3 r \ S2 1 I SI f 'll 1 I W i i 03 i i □2 1 1 1丨〕 o o 1 1 | U2 i I I JI 1 1 S04 1 I SQ3 1 I 1 1 1 | SQ 2 ! 1 I 1 1 5Q1 1 I 1 1 L4 I I 1 1 L3 1 I 1 1 1 1 口 Ll i i UP i i DOWN 1 1 Q n. 1 1 I DW I A3 DN2 LP3 I 1 1 (1 1 1 Q | UP2 1 ■ I I JP1 I I SL4 1 1 SL3 1 I 1 1 1 | SL2 1 1 SL1 1 .1 + COM 04 U3 D3 U2 02 JI DM3 UP2

数电课程设计 电梯控制系统

湖南工程学院 课程设计 课程名称数字电子技术 课题名称简易电梯控制系统 专业自动化 班级1081 学号201013110111 姓名罗超超 指导教师龚志鹏 2012年11月23日湖南工程学院

课程设计任务书课程名称:数字电子技术 题目:简易电梯控制系统 专业班级:自动化1081 学生姓名:罗超超学号:11 指导老师:龚志鹏 审批: 任务书下达日期2012年11月19日星期一设计完成日期2012年11月23日星期五

设计内容与设计要求 一.设计内容: 1、显示方式:以LED数码管形式显示0-3; 2、主要功能:一共有四层楼,楼层标记用0-3表示,假 设每次只有有一层楼有呼叫,按下呼叫按钮后,呼叫楼层指示灯亮,电梯将从当前楼层运行到呼叫楼层,指示灯熄灭; 3、超重报警:挡电梯超重时,报警灯亮,电梯拒绝运行; 4、系统复位:系统能手动复位,复位后,电梯停在第○ 层; 5、其他功能(任选) 二、设计要求: 1、思路清晰,给出整体设计框图和总电路图; 2、单元电路设计,给出具体设计思路和电路; 3、写出设计报告;

主要设计条件 1.提供调试用实验室; 2.提供调试用实验箱和电路所需元件及芯片;3.所用设备及元件需在设计后归还。 说明书格式 1.课程设计封面; 2.任务书; 3.说明书目录; 4.设计总体思路,基本原理和框图(总电路图);5.单元电路设计(各单元电路图); 6.安装、调试步骤; 7.故障分析与电路改进; 8.总结与体会; 9.附录(元器件清单); 10.参考文献; 11.课程设计成绩评分表; 12.设计报告采用16k纸打印;

进度安排 第三周: 星期一:课题内容介绍和查找资料; 星期二~星期五:总体电路设计和分电路设计,电路仿真,修改方案; 第四周: 星期一~星期二:电路设计,电路仿真,修改方案 星期三: 安装、调试电路; 星期四: 验收电路,整理实验室及其它事情,写设计报告,打印相关图纸; 星期五:答辩; 参考文献 1、《电子系统设计》 高等教育出版社马建国主编 2、《电子线路设计、实验、测试》(第二版) 华中理工大学出版社谢自美主编 3、《新型集成电路的应用》---------电子技术基础课程设计 华中理工大学出版社梁宗善主编

毕业设计基于plc的电梯控制系统设计课程设计

东北石油大学课程设计 2013年11月29 日

东北石油大学课程设计任务书 课程电气工程课程设计 题目基于PLC的电梯控制系统设计 专业姓名学号 主要内容: 为保证电梯运行既高效节能又安全可靠,必须改进电梯控制方式。根据顺序逻辑控制的需要发展起来的可编程控制器(PLC),它是专门为工业环境应用而设计的数字运算操作的电子装置。PLC处理速度快,可靠性高,能够保证电梯正常、安全、可靠地运行。同时,由于电机交流变频调速技术的发展,电梯的拖动方式己由原来直流调速逐渐过渡到变频调速,不仅能满足乘客的舒适感和保证平稳的精度,还可以降低能耗,节约能源,减小运行费用,本文将基于PLC的变频调速方法应用到电梯系统中。 参考资料: [1]叶安丽.电梯技术基础[M].北京:机械工业出版社,2007.65-80 [2]李秧耕,何乔治,何峰峰.电梯基本原理及安装维修全书[M].北京:机械工业出 版社,2003 [3]陈伟国.电梯的速度控制研究:硕十学位论文[D].杭州:浙江工业大学,2005 [4]李雪枫,武丽梅,李立新.电梯机械系统的动态特性分析[M].机械工程师,2007 [5]钟肇新,范建东.可编程序控制器原理及应用[J].广州:华南理工大学出版社,2002 成期限2013.11.18至2013.11.24 指导教师 专业负责人 2013年11 月29 日

目录 1 设计要求 (1) 2电梯设备简介 (1) 2.1电梯的分类 (1) 2.2电梯的主要参数 (1) 2.3电梯的安全保护装置 (2) 3 PLC电梯系统的选择及其控制系统的发展 (3) 3.1电力调速系统的应用与发展 (3) 3.2电机调速系统的设计 (3) 3.3异步电机的调速方法及经济技术比较 (4) 3.4井道信号系统的设计 (7) 3.5电梯控制系统的设计 (7) 3.6可编程控制器(PLC)的选型 (8) 3.7设计思路 (8) 4 系统软件开发 (12) 4.1电梯的自检状态 (12) 4.2电梯的正常工作状态 (12) 4.3系统的软件开发过程 (12) 4.4程序框图设计 (13) 参考文献 (15) 附录A电梯梯形图控制程序 (16)

最新四层电梯的自动控制系统及模拟系统设计

四层电梯的自动控制系统及模拟系统设计

陕西国防工业职业技术学院 SHAANXI INSTITUTE OF TECHNOLOGY 毕业设计说明书 题目四层电梯的自动控制系统及模拟系统

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段

保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

PLC电梯控制系统的设计

河南工业职业技术学院 毕业设计 题目 PLC电梯控制系统的设计系院电气工程系 专业 班级 学生姓名 学号 指导教师

前言 随着电子技术的发展,当前数字电器系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展。推动该潮流发展的引擎就是日趋进步和完善的PLC设计技术。目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能的要求,自上而下的完成相应的描述、综合、优化、仿真与验证,直接生成器件。上述设计过程除了系统行为和功能描述以外,其余所有的设计几乎都可以用计算机来自动完成,也就说做到了电器设计自动化这样做可以大大的缩短系统的设计周期,以适应当今品种多、批量小的电子市场的需求。 电器设计自动化的关键技术之一是要求用形式化的方法来描述数字系统的硬件电路,即要用所谓的硬件语言来描述硬件电路。所谓硬件描述语言及相关的仿真、综合等技术的研究是当今电器设计自动化领域的一个重要课题。 PLC的设计和开发,已经有多种类型和款式。传统的PLC各有特点,它们适合在现场做手工测量,要完成远程测量并要对测量数据做进一步分析处理,传统PLC是无法完成的。然而基于PC 通信的PLC,既可以完成测量数据的传递,又可借助PC,做测量数据的处理。所以这种类型的PLC无论在功能和实际应用上,都具有传统PLC无法比拟的特点,这使得它的开发和应用具有良好的前景。

目录 1.前言 2.电梯控制基本概念 3.电梯控制的组成 4.电梯控制的移动 5.电梯PLC系统的模拟组态 6.货运电梯重量超载的控制 7.总结 8.参考文献

2. PLC电梯控制的基本概念 电梯控制系统可分为电力拖动系统和电气控制系统两个主要部分。电力拖动系统主要包括电梯垂直方向主拖动电路和轿箱开关电路。二者均采用易于控制的直流电动机作为拖动动力源。主拖动电路采用PWM调试方式,达到了无级调速的目的。而开关门电路上电机仅需一种速度进行运动。电气控制系统则由众多呼叫按钮、传感器、控制用继电器、指示灯、LED七段数码管和控制部分的核心器件(PLD)等组成。PLC集信号采集、信号输出及逻辑控制于一体,与电梯电力拖动系统一起实现了电梯控制的所有功能。 电梯控制系统原理框图如图1所示,主要由轿箱内指令电路、门厅呼叫电路、主拖动电机电路、开关门电路、档层显示电路、按钮记忆灯电路、楼层检测与平层检测传感器及PLC电路等组成的。 电梯控制系统的硬件结构如图2所示。包括按钮编码输入电路、楼层传感器检测电路、发光二极管记忆灯电路、PWM控制直流电机无线调速电路、轿箱开关电路、楼层显示电路及一些其他辅助电路等。为减少PLC输入输出点数,采用编码的方式将31个呼叫及指层按钮编码五位二进制码输入PLC PLC系统的其它设备 1 编程设备:编程器是PLC开发应用、监测运行、检查维护不可缺少的器件,用于编程、对系统作一些设定、监控PLC及PLC 所控制的系统的工作状况,但它不直接参与现场控制运行。小编程器PLC一般有手持型编程器,目前一般由计算机(运行编程软件)充当编程器。 2 人机界面:最简单的人机界面是指示灯和按钮,目前液晶屏(或触摸屏)式的一体式操作员终端应用越来越广泛,由计算机(运行组态软件)充当人机界面非常普及。 3 输入输出设备:用于永久性地存储用户数据,如EPROM、EEPROM写入器、条码阅读器,输入模拟量的电位器,打印机等。

电梯控制系统(Java课程设计)内容

A1 系统描述 1.功能描述 本电梯系统用来控制一台运行于一个具有N层的大楼电梯,它具有上升、下降、开门、关门、载客的基本功能。 大楼的每一层都有: (1)两个指示灯这两个指示灯分别用于指示当前所在的层数和电梯的当前状态(上行、下行或停止); (2)电梯锁用于将本层的电梯门锁住,并使本楼层的电梯按钮失效,电梯里相应的按钮也失效,使得电梯不能也不可能停在本层; (3)按钮除了第一层和顶层,每一层都有两个按钮(上行、下行),乘客可以呼叫上楼或下楼,顶楼只有一个下楼按钮,而第一层只有一个上楼按钮。 电梯里面具有: (1)标示从“1”到“N”的N个按钮,用于让乘客选择所要的层数; (2)关门按钮当乘客按下此按钮时,电梯门如果开着将关上,否则不执行任何操作;(3)开门按钮当乘客按下此按钮时,电梯如果停在某一层,电梯门将打开,否则不执行任何操作; (4)超重测试和警报装置电梯的地面有超重感应装置,当电梯载重达到某一个值时,电梯“超重警报铃”发出超重警报,并且不执行关门命令。 2.关键实现方法描述 用“最大距离循环”来说明电梯的运行方式。也说是说,电梯处于上行状态时就一直上行直到不再有上行任务,电梯处于下行状态时就一直下行直到不再有下行任务。 用两个队列来实现电梯的调度,电梯根据这两个队列发送来的楼层号作为目的地进行运行。在上行队列中保存了所有的上行请求的楼层号(包括楼层的呼叫和电梯里的楼层按钮请求),即保存电梯上行时需要停的楼层号。队列排列规则是:高于或等于电梯当前所地楼层的上行请求的楼层号从小到大排在队列的前部分,低于电梯当前所地楼层的上行请求的楼层号从小到大排在队列后部分。如果新请求的楼层号被插在队列头时同时将这个楼层号发送给电梯作为它的目的地。在下行队列中保存了所有的下行请求的楼层号(包括楼层的呼叫和电梯里楼层按钮请求),即保存电梯下行时需要停的楼层号。队列排列规则是:低于或是等于电梯当前所在楼层的下行请求的楼层从大到小排在队列前部分,高于电梯当前所在楼层的下行请求的楼层号从大到小排在队列后部分。 当电梯正在上行时,如果上行队列的队列头改变时,系统将同时将这个新的队列头发送给电梯作为它的新目的地。有两种原因引起队列头的改变。 (1)新的请求插入到上行队列头。 (2)电梯到达某个楼层将这个楼层的请求从上行队列的队列头删除,后继的更高楼层号成为对列头。 当电梯正在下行时,如果下行队列的队列头改变时,系统将同时将这个新的队列头发送给电梯作为它的新目的地。有两种原因引起下行队列头的改变。 (1)新的请求插入到下行队列头。 (2)电梯到达某个楼层将这个楼层的请求从下行队列的队列头删除,后继的更高楼层号成为对列头。 在电梯上行过程中,当上行队列中没有比电梯当前所在的楼层更高的楼层号时,发送电梯目的地的开始从下行队列中取得。同样,在电梯下行过程中,当下行队列中没有比电梯当前所在的楼层更低的楼层号时,发送电梯目的地的开始从上行队列中取得。如此反复,当两个队

模拟电梯控制及显示系统设计

模拟电梯控制与显示系统设计 摘要 单片机即单片微型计算机(Single-Chip Microputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中51单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中的永久垂直交通工具。本论文选择 AT89S51为核心控制元件,设计了一个5层电梯智能操作与显示系统,使用单片机C51语言进行编程,实现运送乘客到任意楼层,并且显示电梯的楼层和上下行。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。1889年美国奥梯斯升降机公司推出的世界上第一部以电动机为动力的升降机,同年在纽约市马累特大厦安装成功。随着建筑物规模越来越大,楼层也越来越高,对电梯的调速精度、调速围等静态和动态特性都提出了更高的要求。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。 目前,由可编程控制器(PLC)或微型计算机组成的电梯运行逻辑控制系统,正以很快的速度发展着。可编程控制器,是微机技术与继电器常规控制技术相结合的产物,是在顺序控制器和微机控制器的基础上发展起来的新型控制器,是一种以微处理器为核心用作数字控制的专用计算机,它有良好的抗干扰性能,适应很多工业控制现场的恶劣环境,所以现在的电梯控制系统主要还是由可编程控制器控制。但是由于PLC的针对性较强,每一台PLC都是根据一个设备而设计的,所以价格较昂贵。而单片机价格相当便宜,如果在抗干扰功能上有所提高的话完全可以代替PLC实现对工控设备的控制。当然单片机并不象PLC那么有针对性,所以由单片机设计的控制系统可以随着设备的更新而不断修改完善,更完美的实现设备的升级。电梯控制系统是比较复杂的一个大型系统,在计算机诞生的几十年里,继电器控制系统为电梯控制的发展做了巨大的贡献,但在性能上和PLC还是有本质上的差距。在科技的不断发展下,单片机控制系统很快可以解决抗扰性,成为方便有效的电梯控制系统 单片机概述 单片机全称为单片微型计算机(Single Chip Microputer),又称为微控制器(Microcontroller Unit)或嵌入式控制器(Embedded Controller)。它是将计算机的基本部件微型化并集成到一块芯片上的微型计算机,通常片都含有CPU、ROM、RAM、并行I/O、串行I/O、定时器/计数器、中断控制、系统时钟及系统总线等。(如图1-1所示)。随着技术的发展,单片机片集成的功能越来越强大,并朝着SOC(System on Chip)方向发展

基于FPGA的电梯控制器设计

唐山学院 EDA技术课程设计 题目基于FPGA的电梯控制器设计 系(部) 信息工程系 班级 姓名 学号 指导教师 2013 年1 月7 日至1 月11 日共 1 周 2013年1 月10 日 《EDA技术》课程设计任务书

课程设计成绩评定表

目录 1 前言 (1) 2 EDA技术介绍 (2) 2.1 EDA技术简介 (2) 2.2 EDA技术的发展 (2) 3 电梯控制器设计 (3) 3.1 电梯控制器设计总体框图 (3) 3.2 电梯控制器设计思路 (3) 3.3 电梯处于各楼层的具体分析 (4) 3.4 电梯外部端口具体说明 (6) 3.4.1 定义各个端口 (6) 3.4.2 引脚锁定 (6) 4 仿真结果与说明 (8) 5 总结 (10) 参考文献 (11) 附录程序代码 (12)

1 前言 当今社会,随着城市建设的不断发展,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。目前电梯控制系统主要有三种控制方式:继电路控制系统(“早期安装的电梯多位继电器控制系统”)、FPGA/CPLD的控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰,微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而FPGA/CPLD控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已经成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。 随着EDA技术的快速发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。采用EDA设计,拥有电子系统小型化、低功耗、高可靠性、开发过程投资小、周期短等优点,而且还可以通过软件编程对硬件结构和工作方式进行重构,使得硬件设计如软件设计那般方便快捷。本次设计就是应用EDA电子电路技术来设计电梯控制器,从而使用一片芯片就可以实现对电梯的控制的。

简易电梯控制系统-(2)电子教案

湖南涉外经济学院课程设计报告 课程名称:数字电路 报告题目:电梯楼层显示控制器的设计学生姓名:1111 所在学院:信息科学与工程学院 专业班级:通信1202班 学生学号:111 指导教师:111

2013 年12 月25 日 课题三:电梯楼层显示控制器的设计

摘要 本次设计的主题是关于用中小规模集成芯片世纪一个电梯控制器,在日益发展的现代化社会中,电梯随处可见已经完全普及到人们的生活中,于是我选择了这个课题。电梯控制器要求:用中小规模集成电路设计、用一位LED数码管显示电梯楼层位置;能响应每层(共8层)楼电梯按钮的呼唤,电梯自动行进到所需位置,停留2S,发出开门信号。然后扩展功能是用发光二极管点阵作为显示电路,显示电梯动态的上下状态。 关键词:电梯;芯片;LED

目录 摘要 ................................................................................................................................................ I II 一、设计目的、要求及意义 (1) 1.设计目的 (1) 2.设计目标 (1) 3.设计要求 (1) 4.设计意义 (2) 二、电梯控制系统的实现 (2) 1 .实现功能 (2) 2. 实现电路 (2) 四、总原理图及元器件清单 (4) 1.总原理图 (4) 2. 学生情况统计表 (5) 3.材料清单及工具 (5) 五、结论与心得 (6) 六、参考文献 (7)

一、设计目的、要求及意义 1.设计目的 (1)重温自己已学过的数电知识; (2)掌握数字集成电路的设计方法和原理; (3)熟悉555方波振荡器的应用,编码器、比较器、计数器的应用、译码、显示电路的整体配合; (4)通过完成该设计任务掌握实际问题的逻辑分析,学会对实际问题进行逻辑状态分配、化简; (5)掌握数字电路各部分电路与总体电路的设计、调试、模拟仿真方法。2.设计目标 (1)系统控制的电梯往返于1 ~8 层楼。 (2)乘客要去的楼层可以手动输入并显示。 (3)电梯运行的楼层可自动显示。 (4)当A>B 时,系统能输出使电梯正向运行的时序信号,使电梯上升。(5)当A

模拟电梯控制系统设计论文

(此文档为word格式,下载后您可任意编辑修改!) 2010届本科生毕业设计(论文)题目:《单片机模拟电梯控制系统》文献综述 学生姓名:付天池 院系: 专业、班级:机电一体化09 学号: 指导教师:冯青秀

模拟电梯控制系统设计 摘要 单片机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中51单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中的永久垂直交通工具。 本论文选择AT89S51为核心控制元件,设计了一个八层电梯系统,使用单片机汇编语言进行编程,实现运送乘客到任意楼层,并且显示电梯的楼层和上下行。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点。 关键词单片机电梯控制

Abstract Microcontroller that microcomputer (Single-Chip Microcomputer) gathering CPU, RAM, ROM, the timing, number and variety of interface integrated microcontrollers. 51 various SCM SCM is the most typical and most representative of a widely used in various fields. Elevator is the application of the principle set machinery, electrical control technology, microprocessor technology, systems engineering and other technical disciplines and branches of the integration of mechanical and electrical equipment, which is building a permanent vertical transport. This paper choice AT89S51 control of the core components, designed a new 8 storey lift systems, using single-chip assembly language programming, transporting passengers arrived a floor, it also shows the elevator floor and downlink. SCM control elevators low cost, versatility, flexibility and ease of large complex control advantages Keyboards Single-Chip Microcomputer Elevator control

电梯控制电路设计

电子技术 课程设计 成绩评定表 设计课题:电梯控制电路设计 学院名称: 专业班级: 学生姓名: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:电梯控制电路设计 专业班级: 学生姓名: 学号: 指导教师: 课程设计地点: 课程设计时间:2014-7-7~2014-7-14 电子技术课程设计任务书

目录 一.课程设计目的 (5) 二.课程设计的内容及要求 (5) 课程设计内容 (5)

课程设计要求 (5) 三.正文部分 (7) 按键控制模块......................................................................... .. (7) 目标楼层号按钮编码电路 ....................................... (7) 比较制动电路................................................................... (10) 与逻辑起动控制................................................................... .......................... .11 数码管显示模块 (12) 显示译码器组成电路如下 (12) CD4510(可逆计数器)组成电路 (16) 脉冲发生器模块 (17) 、脉冲发生器 (17) 、6S脉冲发生器 (18) 、LED滚动显示模块 (19) 、LED显示电路译码器 (19) 三位二进制可逆计数器 (20) 、LED显示器 (22) 原理图 (23) 四.设计总结 (23) 附录1.整体电路图 (24)

三层电梯控制电路设计

三层电梯控制电路设计 一. 设计要求 1. 每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 2. 设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。 3. 电梯每秒升(降)一层楼。 4. 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 5. 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 6. 电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则相反。 7. 电梯初始状态为一层开门状态。 二. 设计目的 电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用VHDL语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性, 通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。 三. 控制器的设计方案. 控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。 图1. 电梯控制器原理图

四. 三层电梯控制器的结构体设计 首先说明一下状态。状态机设置了lO个状态,分别是电梯停留在l层(stoponl)、开门(dooropen)、关门(doorclose)、开门等待第1秒(doorwaitl)、开门等 待第2秒(doorwait2)、开门等待第3秒(doorwait3)、开门等待第4秒(doorwait4)、 上升(up)、下降(down)和停止(stop)。在实体说明定义完端口之后,在结构体architecture和begin之间需要有如下的定义语句,来定义状态机。 在结构体中,设计了俩个进程互相配合,一个是状态机进程作为主要进程, 另外一个是信号灯控制进程作为辅助进程。状态机进程中的很多判断条件是以信 号灯进程产生的信号灯信号为依据的,而信号灯进程中信号灯的熄灭又是由状态 机进程中传出的clearup和cleardn信号来控制。 在状态机进程中,在电梯的上升状态中,通过对信号灯的判断,决定下一个 状态是继续上升还是停止;在电梯下降状态中,也是通过对信号灯的判断,决定 下一个状态是继续下降还是停止;在电梯停止状态中,判断是最复杂的,通过对 信号的判断,决定电梯是上升、下降还是停止。 本设计需要完成的任务是编写VHDL代码来模拟现实中的三层电梯工作。在 点阵上显示电梯所在的楼层,当其它楼层有上或下的请求信号时,表示该楼层上 或下的绿色或黄色指示灯亮,电梯开始上或下运行,当到达该楼层时,表示该楼 层上或下的绿色或黄色指示灯灭,表示到达该楼层的红色指示灯亮,点阵显示楼 层数,红色指示灯灭。 五. vhdl源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------------------- entity elevator is port( clk : in std_logic; --Clock Signal k1,k2u,k2d,k3 : in std_logic; --Push button d1,d2u,d2d,d3 : out std_logic; --Led of every floor door1,door2,door3 : buffer std_logic; --door led r0,r1,r2,r3,r4,r5,r6,r7 : out std_logic; --7 segment driver sa,sb,sc : out std_logic); --Display Select end elevator; -------------------------------------------------------------------- architecture behave of elevator is signal state1,state3 : std_logic;

相关主题
文本预览
相关文档 最新文档