当前位置:文档之家› 电子体温计设计实验报告

电子体温计设计实验报告

电子体温计设计实验报告
电子体温计设计实验报告

电子体温计设计报告

(生物医学测量与传感器实验设计)

学院名称:仪器科学与光电工程学院

专业(班级):生物医学工程15-1班

姓名(学号):周俊余(2015214960)

指导教师:付静

起讫日期:2017年6 月16 日-2017年6 月 21 日

一、设计介绍

体温计是人们生活中的必不可少的用品。在现代化的工业生产中,单片机技术已经普及到我们生活、工作、科研各个领域,已经成为一种有力的工具,本实验设计一种基于单片机控制的电子温度计。

本设计采用电子体温计系统的硬件设计,采用一种新型的可编程温度传感(DS18B20),不需复杂的信号调理电路和A/D转换电路能直接与单片机完成数据采集和处理,实现方便、精度高,性能稳定。传感器DS18B20接触人体,感应温度后,模数转化后的电信号送入单片机,并将其送入LCD1602数码管显示。它能快速准确地测量人体体温,与传统的水银玻璃体温计相比,具有读数方便,测量时间短,测量精度高,能记忆并有蜂鸣提示的优点。并且超过预定的温度,回有报警提示。尤其是电子体温计不含水银,对人体及周围环境无害。二、任务要求

该系统的用于体温检测,能准确快速地测量人体体温,并且需要实时的显示当前的温度。与传统的水银玻璃体温计相比,电子体温计具有方便的读数,高精度的测量,测量时间比较短,能记忆并有与其它体温计不同的蜂鸣提示的优点。

测温范围35°C ~42°C,误差在±0.2°C以内,当温度超过38°C时,可以报警,采用LED数码管直读显示。并且能够实时的宽范围的温度检测,能清楚的显示与读出数据。

三、设计思路

本实验旨在设计一个电子体温计,主要控制器采用单片机STC89C52,传感器采用美国DALLAS半导体公司生产的DS18B20智能型传感器。该传感器检查的温度是35°C ~42°C之间,检查的分辨率为±0.2°C。当温度出现不同寻常的时候,不在设置范围内时,可以报警,且是通过蜂鸣器。研究工作总体包括以下多个方面:了解电子体温计的工作原理,典型结构,发展历史及国内外的研究和发展的现状;研究电子体温计的两个最主要的核心模块:DS18B20传感器控制和STC89C52单片机主控制器。

四、系统设计

为满足系统的三个需求:

(1)实时的温度检测。

(2)数据能清楚的显示与读出。

(3)温度变化的曲线能够实时的描绘出来。整个电子体温计系统应该设计必须含有以下几个模块:

a.温度采集模块。

b.显示模块。

c.串口通信接口。

d.核心系统模块。

五、方案设计与论证

方案一:

本电路设计的电子温度计,如图1.1,热敏电阻器件在测温电路中的感温效应。随被测温变化,采集的电压或电流,进行模数转换后,再用单片机进行加工处理采样的数据,就可以通过显示电路显示出来。但是热敏电阻测量体温有许多问题,存在测量时间较长等问题。

图1.1 基于热敏电阻的电子体温计系统框图

方案二:

红外体温计是非接触式的,算是高端的技术,最近几年才发展起来的。主要

是靠红外传感器感应接收人体辐射的红外线,通过模数转换后,用单片机处理采样的数据,显示电路就可以显示出来数据。但是这个方案制作成本费用高,耗时比较长,主要是体现在硬件电路与软件程序复杂。

根据以上需求分析,本次设计采用方案三来设计电子体温计。

六、系统框图

图1.2 电子体温计系统框图

七、硬件电路设计

7.1 传感器电路

7.11数字温度传感器DS18B20介绍

DS18B20的性能和特点如以下几点,都是很好的优点:其一是多个DS18B20可以并联在唯一一个单独的三线上,并且能够实现多点组网功能;其二是独特单独的单线接口仅仅需要一个端口引脚就可以进行通信;其三是用户可以自己定义的非易失性温度报警的设置;不需要外部的外围器件;其余的是可以通过数据线供电,电压范围为是在3.0~5.5V;当待机的时候,功耗为零;温度以9~12位的数字两读出;负电压特性,当电源极性接反的时候,温度计不会因发热而烧毁,只是不能正常工作。报警搜索命令识别并标志超过程序限定温度(温度报警

DS18B20的主要特性:

(1)适应更加宽广的电压范围,电压范围:3.0~5.5V,并且采用数据线供电,与此同时也可以采用寄生电源方式;

(2)DS18B20还具有的很多强大的功能,其中一项就是支持多点的组网功能,在唯一单独的三线上,就可以让多个DS18B20功能并联,实现组网多点测量体温;(3)具有很独特的单线接口方式,需要一条口线即可让DS18B20在与微处理器连接,并且能够实现微处理器与DS18B20的双向通讯;

(4)不需要用其他的任何外围的元器件DS18B20就可以使用,一只三极管的集成电路包含了全部传感元件及转换电路;

(5)温范围-55℃~+125℃,在-10~+85℃的时侯精度是±0.5℃左右;(6)有9~12位的分辨率是可以用来编写程序的,对应的可分辨温度依次分别为0.0625℃、0.125℃、0.25℃和0.5℃,测量温度可实现精度高;

(7)温度转换为数字,且条件是在9位分辨率时,最多花费 93.75ms,而在12位分辨率时,把温度值转换为数字,速度更快,最多在750ms内;

(8)测量结果可以输出直接明确的数字的温度信号,通过串行,CPU接受"一线总线",同时可以传送CRC校验码,拥有极强的抗干扰纠错能力;

(9)负压特性:当接反电源极性的时候,芯片不会发热,因而更加不会被烧毁,但之后不能正常工作。

7.2 单片机电路

STC89C52是一种CMOS8位微小型控制器,其性能高、功耗低,并且具有可编程Flash 存储器,数据容量是8K。在单芯片上,STC89C52为众多嵌入式控制系统应用提供有效、灵活的解决方案主要其原因就是拥有可编程Flash 和灵活的8 位CPU。具有以下标准功能:512bitRAM,8kbitFlash, 32 位I/O 口线,看门狗的定时器,内置4KB EEPROM,MAX810复位电路,单个6向量2级中断结构,三个16 位计数器/ 定时器,全双工串口。另外静态逻辑操作是STC89X52 降至0Hz,并且支持2种软件,与此同时,还可选择节电模式。当CPU 停止工作,就是属于空闲模式。此时允许RAM、串口、计数器/定时器、中断时候继续工作。保存RAM内容,可以在掉电保护方式下,并且可以把振荡器冻结了,单片机停止

一切工作,直到下一个硬件复位或中断为止。最高运作频率35Mhz,6T/12T可选。

7.3 LCD1602显示屏电路

1602液晶也叫1602字符型液晶,字母、数字、符号等的点阵型液晶模块将可以显示在1602上的,可以显示一个字符,是通过每个点阵字符位来实现的,并且组成部分是若干个5X7或者5X11等点阵字符位。每位之间有一个点距的间隔,每行之间也有间隔,起到了字符间距和行间距的作用。1602LCD是指显示的内容可以显示每行16个字符液晶模块,并且可以显示两行,1602代表的就是这个意思。

LCD1602液晶显示内容丰富、小巧的体积、功耗低、超薄且轻巧,常用在微型仪表和低功耗应用系统中。

1602的16脚接口是采用标准规范的,其中:

(1)第1脚:接地电源是VSS;

(2)第2脚:正电源是VDD接+5V ;

(3)第3脚:液晶显示器调整对比度的端口是V0,接正电源的时候对比度是最弱的,接地电源的时候对比度是最高的,对比度太高时会出现不一样的“鬼影”,使用时,对比度的调整,可以通过一个10K的电位器来进行;

(4)第4脚:RS为选择寄存器,指令寄存器用于低电平的时候、数据寄存器用于高电平的时候;

(5)第5脚:信号线RW是代表读写的,写操作通常都是在低电平的时候进行,读操作通常都是在高电平的时候进行,显示地址或者写入指令就要当RS和RW均是为低电平的时候。低电平的时候可以写入数据,必须要是当RS是高电平RW时候,高电平的时候可以读忙信号,必须要是当RS是低电平RW时候;

(6)第6脚:E端是使能端,其作用就是当E端从高电平跳转成为低电平的时候,液晶模块会按照流程执行命令;

(7)第7~14脚:8位双向数据线是从D0到D7;

(8)第15~16脚:这些都是空脚;

从以上可以知道,LCD1602有16只管脚,每只都有不同的作用。设计好电路,再通过软件编程。当通电时候,就会启动显示屏,显示屏就会工作,就会显示外面所需要的。

7.4 电源模块

如图1.3所示,该电路图是电源模块,主要负责整个电路系统的电源供应。整个电子体温计采用的是稳压5V恒流电源。

由于这个电子体温计的电路系统的外部电源,不一定全都是系统所要求的而5V稳压电源,故需要对输入的电压进行稳压处理才行,通过处理电压,才会产生5V的电压。并且稳压是时候,所采用的稳压的芯片是最常用的78xx系列的7805稳压芯片。为了系统运行的稳定性,而且由于稳压芯片有波动的波形。所以应该要对稳压之前和之后的电压进行滤波处理,处理之后的波形,才会增加电形的平滑,这时候,电子体温计整个电路系统才运行的更加稳定,可靠,不会出问题。

图1.3电源模块电路原理图

图1.4 电子体温计原理图

如图1.4所示,整个电路原理图,分有几个模块,其中主要就是有单片机模块,DS18B20温度传感器模块,显示模块和电源模块。而其中也有些小模块,如晶振模块,报警器模块等等。这四个大模块,不同功能,有机的结合起来,成为一个完整的整体。

八、测温电路的设计

8.1 温度传感器的介绍

为了尽量多学习到更多的知识,本课题同时采用了两种温度传感器:LM35以及NTC热敏电阻,设计了两个不同的电路。用同一块单片机分别测量它们的输出,用两套程序来进行计算,用同一个液晶屏分时显示这两个不同的传感器测量到的温度。

8.1.1热敏电阻的类型及特性

热敏电阻是利用半导体的阻值随温度变化这一热性而制成的,分为NTC(负温度系数)热敏电阻、PTC(正温度系数)热敏电阻两大类。PTC热敏电阻电阻值随温度的升高而增大,NTC热敏电阻电阻值随温度的升高而降低[5]。

正温度系数热敏电阻其电阻值随着PTC热敏电阻本体温度的升高呈现出阶跃性的增加,温度越高,电阻值越大。

负温度系数热敏电阻其电阻值随着NTC热敏电阻本体温度的升高呈现出阶

跃性的减小,温度越高,电阻值越小。

NTC热敏电阻的测温范围:低温型号为-100~0℃,中温型号为-50~+300℃,高温型号为+200~+800℃,主要材料为Mn、Ni、Co、Fe、Cu、Al等,用于温度测量、温度补偿和电流限制等。

PTC热敏电阻的测温范围为-50~+150℃主要材料有BaTiO3等,用于温度开关、恒温控制和防止冲击电流等。

8.1.2线性化处理

多数传感器的输出信号与被测量之间的关系并非线性误差γ,如图1.5中的曲线1和曲线2。

图1.5 输出信号与被测量之间的非线性关系

1-类似指数型非线性特性 2-类似于对数型非线性特性3-线性化后的特性在非线性情况下,将严重影响测量准确度。因此必须先将实际曲线1或曲线2进行线性化处理,得到曲线3。

线性化处理的方法:

线性化处理可以由硬件实现,但线性化电路往往较复杂,也会增加检测系统的成本。在计算机系统处理能力允许的条件下,可以用软件实现线性化处理。设传感器的静态输入/输出的特性为y=f(x),是非线性的,则可以通过查表法、线性插值法,以及二次抛物线折线法等几种线性化方法,得到线性的结果:y=Kx。查表法虽然简单,但需逐点测量输入-输出对应数据;采用线性插值法时,划分的段数越多,得到的结果就越精确,但计算所需时间就越长,即仪器稳定时间就越长;二次抛物线折线法的计算就更加复杂。本设计采用对数计算的方法。利

用T=3950/(log(R

T /R

O

)+3950/298.15)-273.15公式来计算温度与热敏电阻的关

系。

8.1.3 NTC热敏电阻用于温度测量和控制简介

热敏电阻具有尺寸小、响应速度快、灵敏度高等优点,因此它在许多领域得到广泛应用。热敏电阻在工业上的用途很广,根据产品型号不同,其适用范围也各不相同,具有以下方面:

(1)热敏电阻测温作为测量温度的热敏电阻一般结构较简单,价格较低廉。没有外面保护层的热敏电阻只能应用在干燥的地方;密封的热敏电阻不怕湿气的侵蚀,可以使用在较恶劣的环境下。由于热敏电阻的阻值较大,故其连接导线的电阻和接触电阻可以忽略,在热敏电阻测量粮仓温度中,其引线可长达近千米。热敏电阻的测量电路多采用桥路,调试电桥电路时,必须先调零,再调满度,最后再验证刻度盘中其他各点的误差是否在允许的范围内,上述过程称为标定。具体做法如下:将绝缘的热敏电阻放入32℃(表头的零位)的温水中,待热量平衡后,调节RP1,使指针指在32℃上,再加入热水,用更高一级的数字式温度计监测水温,使其上升到45℃。待热量平衡后,调节RP2,使指针指在45℃上。再加入冷水,逐渐降温,检查32℃~45℃范围内刻度的准确性。如果不正确:①可重新刻度;②在带微机的情况下,可用软件修正。

虽然目前热敏电阻温度计均已数字化,但上述的“调试”、“标定”的概念是作为检测技术人员必须掌握的最基本技术,必须在实践环节反复训练类似的调试基本功。

(2)热敏电阻用于温度补偿热敏电阻可在一定的温度范围内对某些元件进行温度补偿。例如,动圈式表头中的动圈由铜线绕制作而成。温度升高,电阻增大,引起测量误差。可以在动圈回路中串入由负温度系数热敏电阻组成的电阻网络,从而抵消由于温度变化所产生的误差。

在三极管电路、对数放大器中,也常用热敏电阻组成补偿电路,补偿由于温度引起的漂移误差。

(3)热敏电阻用于温度控制及过热保护在电动机的定子绕组中嵌入突变型热敏电阻并与继电器串联。当电动机过载时钉子电流增大,引起发热。当温度大于突变点时,电路中的电流可以由十分之几毫安突变为几十毫安,因此继电器动作,从而实现过热保护。

热敏电阻在家用电器中用途也十分广泛,如空调与干燥器、电热水器、电烘

箱温度控制等都用到热敏电阻。

(4)热敏电阻用于液面的测量给NTC热敏电阻施加一定的加热电流,它的表面温度将高于周围的空气温度,此时它的阻值较小。当液面高于它的安装高度时,液体将带走它的热量,使之温度下降、阻值升高。判断它的组织变化,就可以知道液面是否低于设定值。汽车油箱中的油位报警传感器就是利用以上原理制作的。热敏电阻在汽车中还用于测量油温、冷却水温等。利用类似的原理,热敏电阻还可用于气体流量的测量[10]。

热敏电阻的优点是可测量到小范围内的温度,变化率较大,固有电阻大,无需延长导线时的误差补偿;其缺点是变化率非线性,不适合测量高温区。

8.2 热敏电阻温度测量计算

LM285/LM385系列微功率带隙稳压器二极管。设计工作低于10μA到20μA的宽电流范围。这些器件特征有非常低的动态阻抗、低噪声以及随时间和温度稳定工作。通过片内微调可以实现严格的电压误差[11]。

根据公式R T= R0 *EXP(B*(1/T0-1/T1))

8.3 放大电路部分

LM324系列运算放大器是价格便宜的带差动输入功能的四运算放大器。可工作在单电源下,电压范围是 3.0V~32V或最大±16V[13]。与单电源应用场合的标准运算放大器相比,它们有一些显著优点。该四运算放大器可以工作在低到3.0伏或者高到32伏的电源下,静态电流为MC1741的静态电流的五分之一。共模输入范围包括负电源,因而消除了在许多应用场合中采用外部偏置元件的必要性。

8.4 恒流源电路

这是最简单的偏置电路,偏置电流I B自电源V CC(取V CC=5V)经过10kΩ,电阻流通。即这一电路的偏置电流I B可用下式表示:

I B =(V

CC

-U

BE

)/10=(5-0.7)/10=0.43mA

图1.6 总电路原理图

上图为本设计电子体温计的总原理图,以单片机为核心,温度传感器和1602LCD液晶显示屏为辅件,完成整个电路图的搭建。

九、设计总结

本次用单片机设计电子体温计系统终于完成了,在本次设计中, 我不仅把知识融会贯通,而且丰富了大脑,同时在外观,到论文的编写都是先查阅了大量资料,过程中,也是了解了许多课外知识后再做确定,开拓了视野。在这次毕业论文设计中,我切身感受到了,自己在专业知识方面,和动手能力方面,有了质的飞跃。

十、参考文献

[1] https://www.doczj.com/doc/f02981749.html,/view/1341776.htm

[2] https://www.doczj.com/doc/f02981749.html,/view/1341776.htm

[3] 高晓蓉.传感器技术[M].西南交通大学出版社,2003

[4] 黄继昌. 传感器工作原理及应用实例[M].人民邮电出版社,1998

[5] 陈杰. 传感器与检测技术[M].高等教育出版社,2002

[6] 金发庆.传感器技术与应用(第二版)[M].北京:机械工业出版社,2006

[7] 沙占友,马洪涛,安国臣,孟志永.新型智能温度传感器的与原理及应用,电子测量与仪器学报第16卷增刊.2002.10:144-146

[8] 沙占友.智能化温度测试系统的优化设计.电子测量与仪器学报第16卷增刊.2002.10:125-127

[9] 高吉祥. 数字电子技术[M].电子工业出版社,2003

[10] 沈任元,吴勇.数字电子技术[M].北京:机械工业出版社,2005

[11] 康华光. 电子技术基础模拟部分[M].高等教育出版社,1988

[12] 卜益民. 模拟电子技术[M].北京:邮电大学出版社,2005

[13] 王港元.电工电子实践指导[M].南昌:江西科学技术出版社,2005

[14] 谢自美.电子线路设计[M].武汉:华中科技大学出版社,2000

[15] 冯璐.免疫金渗滤法定量检测研究[M].河北工业大学,2008

[16] 金毅.温度的测量史[M].教学仪器与实验,2011年27卷8期

电子体温计设计

任务分配 总体方案设计:XXX XXX XXX XXX XXX XXX 软件系统设计:XXX XXX 硬件系统设计:XXX XXX 绘图:XXX 软件编程:XXX XXX XXX XXX 整体效果图:

目录 任务分配 0 第1章绪论 (1) 1.1 设计背景 (1) 1.2 系统总体方案设计概述 (2) 第2章方案设计 (3) 2.1 性能要求 (3) 2.2 设计思路 (3) 第3章电子体温计的控制电路的设计(硬件系统的设计) (4) 3.1 总体设计思想 (4) 3.2 传感器电路 (4) 3.3 单片机电路 (6) 3.4 LCD1602显示屏电路 (9) 3.5 电源模块 (11) 第4章软件控制程序的设计 (13) 4.1 DS18b20的读操作 (13) 4.2 DS18b20的温度数据处理 (14) 4.3 1602显示部分 (15) 第5章系统调试与测量 (17) 5.1 系统调试 (17) 5.2 测量数据 (17) 5.3 误差分析 (18) 课程设计心得 (19) 附录1 (20) 附录2 (21) 参考文献 (29)

第1章绪论 1.1设计背景 由于水银体温计精度很高、使用方便、并且易于携带,因而很多人喜欢采用水银体温计。再加上体温计测温方法及其结构都已完全成熟,并没太多的改进余地,人们对水银体温计的研究热情逐渐渐低,到现在水银体温计几乎已经没有什么发展的余地。再加上由于测量体温用水银体温计很不方便,如果打破摔坏体温计,水银的污染也很严重等,为了准确测量人体的局部温度,促使人们不得不开发了多种多样的测温方式和测温器件设备。 现在其它不同种类的电子仪器测量体温也日益普及,已有许多医院采用了电子体温计来测量体温。这一事实至少说明了,电子测温仪器的性能与水银温度计的性能已经很接近了。因此,鉴于传统的水银体温计多种因素,诸如汞的污染及其携带不方便易破碎,尤其是测量时间过长等缺点,本课题为解决此问题设计出一种数字式电子体温计。它在稳定性及响应时间上比传统的水银体温计有着显著的优势,精度要求也能和传统的水银体温计相媲美。 单片机智能化仪表在测量仪表的方面,有着很大的发展趋势。它给日常生活带来多方面的进步,其中数字温度计就是一个典型的例子,家庭、医院等随处可见,为了能更加满足人们的需要,数字体温计正在不断的进行更新换代。 现在所使用的温度计还有很多是水银、酒精或煤油。温度计的分辨力都是为1~0.1℃。这些普通水银温度计的刻度间隔通常都很密集,读数比较困难,分辨的不准确,而且他们有着比较大的热容量,需要很长时间达到热平衡,因此温度数值很难读准,使用非常不方便。本设计所介绍的电子体温计,主要用于家庭等普通环境。与传统的水银温度计相比,电子体温计易于读数,广泛的测温范围,测温精度比较高等优点,其输出温度采用数字显示。 现在温度计发展非常迅速,从最原始的玻璃管温度计发展到了现在的热电偶温度计、热电阻温度计、集成的半导体数字温度计等。在电子式温度计中,最重要组成部分就是传感器。温度计的测量范围、精度、控制范围和用途取决于传感器的精度、灵敏度等等。现在的温度传感器被广泛的应用,目前已经研制出各种各样的新型温度传感器,从而现在温度监控系统的功能日趋强大。

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

《管理信息系统》课程设计实验报告

《管理信息系统》课程设计实验报告 课程名称:管理信息系统 指导老师: ******* 院系:商学院 专业班级: ******** 姓名: ******** 学号: ******** 实验日期: 2011.7.11 实验地点:一机房

《管理信息系统》课程设计任务书 一.课程设计目的及意义: 《管理信息系统》课程设计是在完成《管理信息系统》课程学习之后的一次实践性教 学,是本课程理论知识的一次综合运用。通过本课程设计,能够进一步加深对信息、信息系 统、管理信息系统等基础理论知识的理解,能初步掌握结构化的生命周期法、面向对象法等 系统工程方法,进一步加强熟练应用管理信息系统的操作技能,并能够借助于管理信息系统 解决实际问题。 二.课程设计要求: 1.本课程设计时间为一周。 2.本课程设计以教学班为单位进行上机操作及实验。 3.按照任务要求完成课程设计内容。 三.课程设计任务要求: 1.任务内容:进入山东轻工业学院主页,在“网络资源”区域进入“网络教学平台”,输入各自的用户名和密码(学生学号及密码),进入本网络教学平台系统,在充分熟悉本系统 的前提下,完成下列任务要求。 2.任务要求: ①按照课程讲解的系统分析步骤和理论对本系统进行系统分析。 ②绘制不少于 3 个的主要业务流程图。 ③描述上述主要业务流程图的逻辑处理功能。 ④分析本系统的优缺点,提出改进意见,并描述改进的逻辑处理功能,绘制业务流 程图。 四.课程设计评分标准: 按照《管理信息系统课程设计大纲》的要求,本课程 1 学分,采用百分制计分,其中 任务要求②占30 分,任务要求③占30 分,任务要求④占30 分,考勤及实践表现占10 分。五.本课程设计自2011 年 6 月 27 日至 2011 年 7 月 1 日。

电子体温计的设计论文

目录 一、摘要 (1) 二、任务要求 (3) 三、设计思路 (3) 四、系统设计 (3) 五、方案设计与论证 (4) 六、系统框图 (4) 七、硬件电路设计 (5) 7.1传感器电路 (5) 7.2单片机电路 (6) 7.3LCD1602显示屏电路 (6) 7.4电源模块 (7) 八、测温电路的设计 (9) 8.1温度传感器的介绍 (9) 8.1.1热敏电阻的类型及特性 (9) 8.1.2线性化处理 (9) 8.1.3NTC热敏电阻用于温度测量和控制简介 (10) 8.2热敏电阻温度测量计算 (11) 8.3放大电路部分 (12) 8.4恒流源电路 (12) 九、PCB电路板的制作 (13) 十、系统调试与测量 (13) 10.1系统调试 (13) 10.2误差分析 (14) 十一、设计总结 (14) 十二、参考文献 (14)

电子体温计的设计 一、摘要 体温计是人们生活中的必不可少的用品。在现代化的工业生产中,单片机技术已经普及到我们生活、工作、科研各个领域,已经成为一种有力的工具,本文介绍一种基于单片机控制的电子温度计。 本设计采用电子体温计系统的硬件设计,采用一种新型的可编程温度传感(DS18B20),不需复杂的信号调理电路和A/D转换电路能直接与单片机完成数据采集和处理,实现方便、精度高,性能稳定。传感器DS18B20接触人体,感应温度后,模数转化后的电信号送入STC89C52单片机,并将其送入LCD1602数码管显示。它能快速准确地测量人体体温,与传统的水银玻璃体温计相比,具有读数方便,测量时间短,测量精度高,能记忆并有蜂鸣提示的优点。并且超过预定的温度,回有报警提示。尤其是电子体温计不含水银,对人体及周围环境无害,特别适合于家庭,医院等场合使用。 【关键词】电子体温计DS18B20传感器STC89C52单片机LCD1602显示屏

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

系统设计实验报告

系统设计实验报告——远程在线考试系统

目录软件需求说明书························1 引言··························· 1.1编写目的······················· 1.2背景························· 1.3定义························· 1.4参考资料······················· 2 程序系统的结构························ 3 程序设计说明·························

1引言 1.1编写目的 本文档的编写目的是为远程在线考试系统项目的设计提供: a.系统的结构、设计说明; b.程序设计说明; c. 程序(标识符)设计说明 1.2背景 随着网络技术的飞速发展,现在很多的大学及社会上其它的培训部门都已经开设了远程教育,并通过计算机网络实现异地教育。但是,远程教育软件的开发,就目前来说,还是处于起步的阶段。因此,构建一个远程在线考试系统,还是有很大的实际意义的。 根据用户提出的需求,本项目组承接该系统的开发工作 a.开发软件系统的名称:远程在线考试系统 b.本项目的任务提出者:福州大学软件学院 c.用户:各类大专院校学校、中小学校。 1.3定义 远程在线考试系统 远程在线考试系统是基于用Browser/Web模式下的,可以实现考试题库管理、多用户在线考试、自动阅卷功能的系统。

1.4参考资料 ?GB 8566 计算机软件开发规范 ?GB 8567 计算机软件产品开发文件编制指南?软件设计标准 ?《ASP与SQL-Server2000》清华大学出版社?《可行性研究报告》 ?《项目计划文档》 ? 2程序系统的结构 3程序1(标识符)设计说明

数字体温计的设计

数字体温计的设计 一、实验目的 1.研究NTC热敏电阻的电学、热学性质。 2.利用NTC热敏电阻设计一个数字体温计,并评估其精度。 二、实验原理 (一)NTC热敏电阻 NTC是Negative Temperature Coefficient的缩写, 意思是负的温度系数,泛指负温度系数很大的半导体 材料或元器件,所谓NTC热敏电阻器就是负温度系 数热敏电阻器。它是以锰、钴、镍和铜等金属氧化物 为主要材料,采用陶瓷工艺制造而成的。这些金属氧 化物材料都具有半导体性质,因为在导电方式上完全 类似锗、硅等半导体材料。温度低时,这些氧化物材 料的载流子(电子和孔穴)数目少,所以其电阻值较 高;随着温度的升高,载流子数目增加,所以电阻值 降低。NTC热敏电阻器在室温下的变化范围在102~ 106欧姆,温度系数-2%~-6.5%。NTC热敏电阻器可广泛应用于温度测量、温度补偿、抑制浪涌电流等场合。 部分专业术语: 1.(额定)测量功率P m(mW) 热敏电阻在规定的环境温度下,阻体受测量电流加热引起的阻值变化相对于总的测量误差来说可以忽略不计时所消耗的功率。一般阻值变化不应大于0.1%。 当热敏电阻受测量电流加热引起的阻值变化恰为0.1%时,对应的测量功率P m称为额定测量功率,其数值约在1mW左右,并与环境温度有关。【根据图1所示的热敏电阻的尺寸、玻璃的热容量及导热系数等参数,可以估算出P m的大致数量级。】 2.零功率电阻值R T(Ω) R T指在温度T时,采用小于额定值的测量功率测得的电阻值。 3.额定零功率电阻值R25(Ω) 根据国标规定,额定零功率电阻值是NTC热敏电阻在基准温度25℃时测得的电阻值R25,这个电阻值就是NTC热敏电阻的标称电阻值。例如,实验室使用的NTC热敏电阻的阻值为10 k ,就是指该NTC热敏电阻的R25 = 10 kΩ。 4.材料常数(热敏指数)B(K) B值的定义式为:B=T1T2 T2?T1ln R1 R2 图1 玻璃封装系列NTC热敏电阻

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

操作系统课程设计实验报告

河北大学工商学院 课程设计 题目:操作系统课程设计 学部信息学部 学科门类电气信息 专业计算机 学号2011482370 姓名耿雪涛 指导教师朱亮 2013 年6月19日

主要内容 一、设计目的 通过模拟操作系统的实现,加深对操作系统工作原理理解,进一步了解操作系统的实现方法,并可练习合作完成系统的团队精神和提高程序设计能力。 二、设计思想 实现一个模拟操作系统,使用VB、VC、CB等windows环境下的程序设计语言,以借助这些语言环境来模拟硬件的一些并行工作。模拟采用多道程序设计方法的单用户操作系统,该操作系统包括进程管理、存储管理、设备管理、文件管理和用户接口四部分。 设计模板如下图: 注:本人主要涉及设备管理模块

三、设计要求 设备管理主要包括设备的分配和回收。 ⑴模拟系统中有A、B、C三种独占型设备,A设备1个,B设备2个,C设备2个。 ⑵采用死锁的预防方法来处理申请独占设备可能造成的死锁。 ⑶屏幕显示 注:屏幕显示要求包括:每个设备是否被使用,哪个进程在使用该设备,哪些进程在等待使用该设备。 设备管理模块详细设计 一、设备管理的任务 I/O设备是按照用户的请求,控制设备的各种操作,用于完成I/O 设备与内存之间的数据交换(包括设备的分配与回收,设备的驱动管理等),最终完成用户的I/O请求,并且I/O设备为用户提供了使用外部设备的接口,可以满足用户的需求。 二、设备管理函数的详细描述 1、检查设备是否可用(主要代码) public bool JudgeDevice(DeviceType type) { bool str = false; switch (type) { case DeviceType.a: {

基于LM35的体温计的设计-数字电子基础课程设计

目录 1.总体方案的设计与选择.......................................... - 1 - 1.1 数字温度计的设计标准与要求.............................. - 1 - 1.2 系统基本方案............................................ - 1 - 1.3 各模块基本功能与设计方案选择与论证...................... - 1 - 1.3.1 温度采集模块的设计与论证........................... - 1 - 1.3.2 信号转换模块的设计与方案选择....................... - 3 - 1.3.3 显示模块的设计与方案选择........................... - 4 - 2. 硬件电路设计................................................. - 6 - 2.1 温度采集模块的硬件设计.................................. - 6 - 2.2 信号转换模块硬件电路设计................................ - 7 - 2.3 显示模块设计电路图...................................... - 8 - 2.4 电路中相关参数设定...................................... - 8 - 3. 电路仿真........................................ 错误!未定义书签。 3.1 仿真软件简介............................... 错误!未定义书签。 3.2 仿真分析.................................. 错误!未定义书签。 4 电路的安装与调试.............................................. - 9 - 5 误差分析...................................................... - 9 - 6 实物照片......................................... 错误!未定义书签。 7.心得体会..................................................... - 11 -

简易数字温度计的设计与制作

简易数字温度计的设计与制作 来源:21IC中国电子网作者:郇玉龙赵宁 摘要:单片机在日用电子产品中的应用越来越广泛,温度则是人们日常生活中常常需要测量和控制的一个量。本文作者采用AT89C51单片机和温度传感器AD5 90从硬件和软件两方面介绍了一款简易数字温度计的设计过程,并对硬件原理图和程序流程图作了简洁的描述。 关键词:单片机AT89C51;温度传感器AD590;数字温度计;模数转换;数码显示 1.前言 随着单片机技术的不断发展,单片机在日用电子产品中的应用越来越广泛,温度传感器AD590具有线性优良、性能稳定、灵敏度高、抗干扰能力强、使用方便等优点,广泛应用于冰箱、空调器、粮仓等日常生活中温度的测量和控制。传统的温度计有反应速度慢、读数麻烦、测量精度不高、误差大等缺点,本文作者利用集成温度传感器AD590设计并制作了一款基于AT89C51的4位数码管显示的数字温度计,其电路简单,软硬件结构模块化,易于实现。 2.系统功能原理及硬件组成 该数字温度计利用AD590集成温度传感器及其接口电路完成温度的测量并转换成模拟电压信号,经由模数转换器ADC0804转换成单片机能够处理的数字信号,然后送到单片机AT89C51中进行处理变换,最后将温度值显示在D4、D3、D 2、D1共4位七段码LED显示器上。 系统以AT89C51单片机为控制核心,加上AD590测温电路、ADC模数转换电路、4位温度数据显示电路以及外围电源、时钟电路等组成。系统组成框图如图1所示。

图1 系统组成框图 2.1 AT89C51单片机 Atmel公司的生产的AT89C51单片机是一种低功耗/低电压、高性能的8位单片机,内部除CPU外,还包括128字节RAM,4个8位并行I/O口,5个中断优先级,2层中断嵌套中断,2个16位可编程定时计数器,片内集成4K字节可改变程序Flash存储器,具有低功耗,速度快,程序擦写方便等优点,完全满足本系统设计需要。 单片机P0口作为ADC0804转换数据的输入端,P2.0接ADC0804的INTR端检测数据转换是否结束。P1.0~P1.3的输出信号接到译码器7447上作为数码管的显示,P1.4~P1.7则作为4个数码管的位选信号控制。P3口有特殊的功能,P3. 6用于控制ADC0804的启动,P3.7用于控制读取ADC0804的转换结果。 2.2 AD590温度传感器 AD590是美国模拟器件公司生产的单片集成两端感温电流源。AD590测温范围为-55℃~+150℃,满足人们日常生产和生活中的温度范围。AD590电源电压可在4V~6V范围变化,可以承受44V正向电压和20V反向电压,因而器件反接也不会被损坏。AD590产生的电流与绝对温度成正比,它有非常好的线性输出性能,温度每增加1℃,其电流增加1μA。 AD590温度与电流的关系如下表所示:

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

电子体温计设计毕业设计

电子体温计设计

目录 任务分配 .................................................................. 错误!未定义书签。第1章绪论 . (1) 1.1 设计背景 (1) 1.2 系统总体方案设计概述 (2) 第2章方案设计 (3) 2.1 性能要求 (3) 2.2 设计思路 (3) 第3章电子体温计的控制电路的设计(硬件系统的设计) (4) 3.1 总体设计思想 (4) 3.2 传感器电路 (4) 3.3 单片机电路 (6) 3.4 LCD1602显示屏电路 (9) 3.5 电源模块 (11) 第4章软件控制程序的设计 (13) 4.1 DS18b20的读操作 (13) 4.2 DS18b20的温度数据处理 (14) 4.3 1602显示部分 (15) 第5章系统调试与测量 (17) 5.1 系统调试 (17) 5.2 测量数据 (17) 5.3 误差分析 (18) 课程设计心得 (19) 附录1 (20) 附录2 (1) 参考文献 (7)

第1章绪论 1.1设计背景 由于水银体温计精度很高、使用方便、并且易于携带,因而很多人喜欢采用水银体温计。再加上体温计测温方法及其结构都已完全成熟,并没太多的改进余地,人们对水银体温计的研究热情逐渐渐低,到现在水银体温计几乎已经没有什么发展的余地。再加上由于测量体温用水银体温计很不方便,如果打破摔坏体温计,水银的污染也很严重等,为了准确测量人体的局部温度,促使人们不得不开发了多种多样的测温方式和测温器件设备。 现在其它不同种类的电子仪器测量体温也日益普及,已有许多医院采用了电子体温计来测量体温。这一事实至少说明了,电子测温仪器的性能与水银温度计的性能已经很接近了。因此,鉴于传统的水银体温计多种因素,诸如汞的污染及其携带不方便易破碎,尤其是测量时间过长等缺点,本课题为解决此问题设计出一种数字式电子体温计。它在稳定性及响应时间上比传统的水银体温计有着显著的优势,精度要求也能和传统的水银体温计相媲美。 单片机智能化仪表在测量仪表的方面,有着很大的发展趋势。它给日常生活带来多方面的进步,其中数字温度计就是一个典型的例子,家庭、医院等随处可见,为了能更加满足人们的需要,数字体温计正在不断的进行更新换代。 现在所使用的温度计还有很多是水银、酒精或煤油。温度计的分辨力都是为1~0.1℃。这些普通水银温度计的刻度间隔通常都很密集,读数比较困难,分辨的不准确,而且他们有着比较大的热容量,需要很长时间达到热平衡,因此温度数值很难读准,使用非常不方便。本设计所介绍的电子体温计,主要用于家庭等普通环境。与传统的水银温度计相比,电子体温计易于读数,广泛的测温范围,测温精度比较高等优点,其输出温度采用数字显示。 现在温度计发展非常迅速,从最原始的玻璃管温度计发展到了现在的热电偶温度计、热电阻温度计、集成的半导体数字温度计等。在电子式温度计中,最重要组成部分就是传感器。温度计的测量范围、精度、控制范围和用途取决于传感器的精度、灵敏度等等。现在的温度传感器被广泛的应用,目前已经研制出各种各样的新型温度传感器,从而现在温度监控系统的功能日趋强大。

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

CMOS数字集成电路设计_八位加法器实验报告

CMOS数字集成电路设计课程设计报告 学院:****** 专业:****** 班级:****** 姓名:Wang Ke qin 指导老师:****** 学号:****** 日期:2012-5-30

目录 一、设计要求 (1) 二、设计思路 (1) 三、电路设计与验证 (2) (一)1位全加器的电路设计与验证 (2) 1)原理图设计 (2) 2)生成符号图 (2) 3)建立测试激励源 (2) 4)测试电路 (3) 5)波形仿真 (4) (二)4位全加器的电路设计与验证 (4) 1)原理图设计 (4) 2)生成符号图 (5) 3)建立测试激励源 (5) 4)测试电路 (6) 5)波形仿真 (6) (三)8位全加器的电路设计与验证 (7) 1)原理图设计 (7) 2)生成符号图 (7) 3)测试激励源 (8) 4)测试电路 (8) 5)波形仿真 (9) 6)电路参数 (11) 四、版图设计与验证 (13) (一)1位全加器的版图设计与验证 (13) 1)1位全加器的版图设计 (13) 2)1位全加器的DRC规则验证 (14) 3)1位全加器的LVS验证 (14) 4)错误及解决办法 (14) (二)4位全加器的版图设计与验证 (15) 1)4位全加器的版图设计 (15) 2)4位全加器的DRC规则验证 (16) 3)4位全加器的LVS验证 (16) 4)错误及解决办法 (16) (三)8位全加器的版图设计与验证 (17) 1)8位全加器的版图设计 (17) 2)8位全加器的DRC规则验证 (17) 3)8位全加器的LVS验证 (18) 4)错误及解决办法 (18) 五、设计总结 (18)

软件设计与体系结构实验报告

福建农林大学计算机与信息学院 实验报告 课程名称:软件设计与体系结构 姓名:陈宇翔 系:软件工程系 专业:软件工程 年级:2007 学号:070481024 指导教师:王李进 职称:讲师 2009年12月16日

实验项目列表

福建农林大学计算机与信息学院实验报告 学院:计算机与信息学院专业:软件工程系年级:2007 姓名:陈宇翔 学号:070481024 课程名称:软件设计与体系结构实验时间:2009-10-28 实验室田实验室312、313计算机号024 指导教师签字:成绩: 实验1:ACME软件体系结构描述语言应用 一、实验目的 1)掌握软件体系结构描述的概念 2)掌握应用ACMESTUDIO工具描述软件体系结构的基本操作 二、实验学时 2学时。 三、实验方法 由老师提供软件体系结构图形样板供学生参考,学生在样板的指导下修改图形,在老师的指导下进行软件体系结构描述。 四、实验环境 计算机及ACMESTUDIO。 五、实验内容 利用ACME语言定义软件体系结构风格,修改ACME代码,并进行风格测试。 六、实验操作步骤 一、导入Zip文档 建立的一个Acme Project,并且命名为AcmeLab2。如下图:

接着导入ZIP文档,导入完ZIP文档后显示的如下图: 二、修改风格 在AcmeLab2项目中,打开families下的TieredFam.acme.如下图: 修改组件外观 1. 在组件类型中,双击DataNodeT; 在其右边的编辑器中,将产生预览;选择Modify 按钮,将打开外观编辑器对话框。 2. 首先改变图形:找到Basic shape section,在Stock image dropdown menu中选 择Repository类型. 3. 在Color/Line Properties section修改填充颜色为深蓝色。 4. 在颜色对话框中选择深蓝色,并单击 [OK]. 5. 修改图形的边框颜色为绿色 7. 单击Label tab,在Font Settings section, 设置字体颜色为白色,单击[OK] 产生的图形如下图:

相关主题
文本预览
相关文档 最新文档