当前位置:文档之家› 16X16LED点阵屏滚动显示说明书

16X16LED点阵屏滚动显示说明书

16X16LED点阵屏滚动显示说明书
16X16LED点阵屏滚动显示说明书

湄洲湾职业技术学院16X16LED点阵屏滚动显示说明书

系别:自动化工程系

年级:10级专业:电气自动化姓名:小容学号:1001010114 导师姓名:蔡小明职称:讲师

2013年 5 月 28 日

1.前言 (1)

2.系统设计技术参数要求 (3)

3.系统设计 (4)

3.1系统设计总体框图 (5)

3.2系统各模块说明 (6)

3.3系统总原理图说明 (7)

3.4印刷电路板的制作图 (7)

3.5硬件调试 (7)

3.5.1系统操作注意事项 (7)

3.5.2调试所用设备及过程 (8)

3.5.3测试结果分析与结论 (8)

参考文献 (9)

致谢词 (10)

附录 (11)

附录1元件清单 (12)

附录2系统电路总图 (13)

在微型计算机技术使用上,单片机主要用与工业测控,如家用电器,计算机外围设备,工业智能化仪表,机器人,生产过程的自动控制,农业,化工,军事,航空航天等领域等,都有着巨大的作用。

作为21世纪的工科大学生,不仅要熟练地使用通用微机进行各种数据处理,还要把计算机技术运用到本专业领域或相关领域,即具有“开发”能力。新世纪的工科大专院校的大学生既要掌握通用微机,又要掌握单片机,所以学习单片机这一门学科对我们的学习很重要。大家都知道,现在市面上已出现很多有关点阵显示器的商品,如广告活动字幕机、股票显示板、活动布告栏等。它的优点是可按需要的大小、形状、单色、或彩色来组合,可与微处理器连接,做各种广告栏文字或图形变化。因此可知汉字显示系统在人民的生活当中是何等的重要,也是研究的课题之一。

16*16点阵LED字符显示器系统在工业、各种比赛场合及日常生活应用中占有十分重要的地位,多年来,研究此项目的工程技术人员曾为简化电路、提高可靠性、降低成本,付出了很大的努力,做出不少成绩。如今,美观、价廉、体积小、高可靠性8*8点阵LED字符显示器的出现,为这一领域的技术打开了新的天地。

LED字符显示器发发展到今天已经从模拟化、分立化迈进数字化、集成化LED系统。它的最大优点在于采用STC89C52掉电工作方式构成高可靠、低功耗系统方法。在单片机程序设计中,采用“模块化”思路,设计中大量硬件尽量用软件代替,从而简化了系统结构,减少电子元件虚焊,接触不良和漂移等引起的一些故障,而且使用方便,只须改变软件中几条伪指令即可。另外,本系统还可以方便的设计监控、故障自诊断、故障自动复原程序,以提高系统的可靠性。系统的抗干扰设计,提高了系统的抗干扰能力。

在设计中重点要考虑单片机应用系统的设计。其中主要包括最小系统设计,系统扩展设计,信道与接口设计,系统抗干扰设计与应用软件系统设计等内容。这方面,本设计在系统硬件电路设计的选择上可略见一斑。为了不增大电路板的体积及减小功耗,本设计采用ATMEL 公司的STC89C52单片机,体积小,工作电压范围宽,达到了设计最优化的目的。除此之外,一个实际的单片机应用系统还需要多种配置及其接口连接。单片机应用系统设计会涉及到更为复杂的内容和问题,如将会涉及到多方位接口和多种类型的电路结构,如模拟电路、伺服

驱动电路、抗干扰隔离电路等。因此,单片机应用系统设计应遵循一些基本原则和方法。从一般应用角度,了解单片机应用系统的结构、设计的内容与一般方法,对于单片机应用系统的工程设计与开发有十分重要的指导意义。

2.系统设计技术参数要求

(1)设计并制作一个16*16点阵,选用合适的单片机芯片和显示模块来完成显示功能。

(2)本设计的点阵显示主要由四个模块组成,其核心元件是一块单片机STC89C52。系统主要通过单片机STC89C52对各个模块进行实时控制。

(3)在显示模块上能显示CYS和简单的数字。

(4)电路供电电源5V。

3.系统设计

3.1系统设计总体框图

系统设计总体框图如图3-1所示:

图3-1 系统总框图

3.2 系统各模块说明

(1)单片机的最小系统就是让单片机能正常工作并发挥其功能时所必须的组成部分,最小系统一般应该包括:单片机、时钟电路、复位电路构成如图3-2所示。

图3-2 最小系统图

(2)显示模块:点阵显示是由八行八列的发光二极管集成在一块电路上组成,主要用来显示汉字,同时也能显示数字和字母,如图3-3所示。

图3-3显示模块图

(3)89C52单片机的原理及引角功能

VCC:供电电压。

GND:接地。

P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。

在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL 门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。

P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。

P3口作为AT89C51的一些特殊功能口,管脚备选功能

P3.0 RXD(串行输入口)

P3.1 TXD(串行输出口)

P3.2 /INT0(外部中断0)

P3.3 /INT1(外部中断1)

P3.4 T0(记时器0外部输入)

P3.5 T1(记时器1外部输入)

P3.6 /WR(外部数据存储器写选通)

P3.7 /RD(外部数据存储器读选通)

RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。/PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。/EA / VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引角也用于施加12V编程电源(VPP)。

XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。

XTAL2:来自反向振荡器的输出。

3.3系统总原理图说明

本次设计的led点阵显示采用一块Stc89c52rc作为主控模块。在单片机工作之前,我们必须给它一个电源(5V)和连接一些需要连接的地方。比如第19引角要给它接上一个 12MHz 的晶振和两个 30PF 的电容。第 31 引角要接上电源,第 9 引角要接上复位电路。单片机的P0 口、P1 口、P2 口、和 P3 口分别是做其他功能使用。同时指出的是在使用 P0 口为通用I/O 口接口时,连接点阵要加入“74HC154”进行驱动led点阵的显示。

汉字的点阵结构相应的显示数据是用16进制格式以字节为单位表示的,汉字显示方式是先根据所需要的汉字提取汉字点阵,将点阵文件存入ROM,形成新的汉字编码。而在使用时则需要先根据新的汉字编码组成语言,再由MCU根据新编码提取相应的点阵进行汉字显示。系统总原理图附于附录。

3.4印刷电路板的制作图

印刷电路板的制作图如附录2所示。

3.5硬件调试

3.5.1系统操作注意事项

(1)通电前要先检查电路是否有短路。

(2)在要连接电源时,注意正负极的区分。

(3)在操作过程中要注意桌面是不能有金属铁屑防止线路板之间发生短路。

(4)在烧写程序时要反复查看和编译,以免输入是错误。

3.5.2调试所用设备及过程

一. 使用的设备:

数字万用表一台

二.电烙铁及焊接工具一套

1. 用直观法检查电路焊接是否正确,元器件极性是否正确。插上芯片,接上电源,用电压法检查各芯片、各引脚电压是否正常。

2. 模拟测试

把所焊接的最小系统板与开发板相连,接上8*8点阵管;接上电源。按上开发板的电源开关,观察点阵是否显示正常,如出现显示的是反码或者移动方向与程序设计方向相反;则检查点阵管引脚是否接错或与开发板连接处接反。在确保了前面电路正常以后,用同样的方法再测试后面的电路,观察显示部分是否与检测部分相对应。

3.5.3测试结果分析与结论

从确定题目到电路焊接的完成及对软件部分的调试完成后,我对作品进行了调试,所得到的结果基本上完成了设计该作品之前所要求的功能,即:

(1)能显示设计所需要显示内容

(2)具备一直循环的显示功能。

(3)显示字时较稳定,没有明显的抖动现象。

对于这次的测试结果基本上还满意,虽然没能做出创新功能,但已经能够实现所有要求设计的的功能,基本完成了设计的要求。

参考文献

[1]周志敏(著).LED驱动电路设计与应用.北京:人民邮电业出版社,2006.12

[2]戴佳(著).51单片机C语言应用程序设计实例精讲. 北京:电子工业出版社,2006.4

[3]田立(著).51单片机C语言应用程序设计快速入门. 北京:人民邮电出版社,2007.1

[4]肖景和(著).CMOS数字电路应用 300例. 北京:中国电力出版社,2005

[5]张庆双(著).LED应用电路精选. 北京:机械工业出版社,2009.11

[6]肖玲妮(著).Protol 99 SE印刷电路板教程. 北京:清华大学出版社,2003.8

三年的读书生活在这个季节即将划上一个句号,而于我的人生却只是一个逗号,我将面对又一次征程的开始。三年的求学生涯在师长、亲友的大力支持下,走得辛苦却也收获满囊,在论文即将付梓之际,思绪万千,心情久久不能平静。伟人、名人为我所崇拜,可是我更急切地要把我的敬意和赞美献给一位平凡的人,我的导师。我不是您最出色的学生,而您却是我最尊敬的老师(蔡小明),他严谨细致、一丝不苟的作风一直是我工作、学习中的榜样;他循循善诱的教导和不拘一格的思路给予我们无尽的启迪。本次毕业论文设计是在蔡明雄老师的亲切关怀和悉心的指导下完成的,他精益求精的工作作风,深深地感染和激励着我,从课题的选择到项目的最终完成,蔡明雄老师都始终给予我细心的指导和不懈的支持,在完成论文的这段时间以来,由于有蔡老师孜孜不倦的教诲及耐心的讲解和自己的努力,我才能完成好我所要设计的作品,在此特向蔡老师致以诚挚的谢意和崇高的敬意!此外还要感谢所有的电子系的课任老师和帮助过我的的同学们。在学习中,老师严谨的治学态度、丰富渊博的知识、敏锐的学术思维、精益求精的工作态度以及侮人不倦的师者风范是我终生学习的楷模,导师们的高深精湛的造诣与严谨求实的治学精神,将永远激励着我。这三年中还得到众多老师的关心支持和帮助。在此,谨向老师们致以衷心的感谢和崇高的敬意!!!

如果说蔡老师在专业知识(工作和学习)上给予我极大的帮助和激励的话,那么在我的幕后默默的支持我,鼓励我的父母也是使我能准时且成功的完成这次毕业设计的全过程的不可缺少的一部分。他们虽然不懂专业知识,无法帮我解决在电路设计和程序编程过程中所遇到的困难,但是他们在我做论文时不怕辛苦为我准备好了一切,使我能够无后顾之忧的去做论文。我相信我父母的辛苦不会白费的,我成功了。这不只是我一个人的成功,是我的老师,我的父母共同努力而取得的成就!

此外,我还要感谢在一起度过愉快的大学生活的全班同学,正是由于你们的帮助和支持,我才能克服一个又一个的困难和疑惑,直至本次毕业设计的顺利完成,谢大家!

附录1 元件清单

附录2 系统印刷电路板图

附录3 系统电路总图

附录4 系统源代码

#include"reg52.h"

#define uint unsigned int

#define uchar unsigned char

uchar code zuo[]={

0x00,0x00,0x10,0x10,0x50,0xF0,0x10,0x10,0x16,0x11,0x10,0x10,0x90,0x10,0x10,0x00,

0x00,0x04,0xF4,0x94,0xF4,0x94,0x9F,0xF4,0x94,0xF4,0x04,0x12,0x3B,0xE4,0x88,0x10,

0x00,0x00,0x3E,0x22,0x22,0x22,0xE2,0x02,0x00,0xFC,0x54,0x55,0x56,0xFC,0x00,0x00,

0x00,0x40,0x44,0x44,0x44,0xC4,0x44,0x44,0x44,0x40,0x22,0x73,0xC6,0x84,0x08,0x10,

0x00,0x00,0x04,0xF6,0x55,0x54,0xFF,0x54,0x54,0xF4,0x04,0x20,0x20,0xFF,0x20,0x20,

0x00,0x00,0x00,0xFE,0x82,0x82,0x82,0x82,0xFE,0x00,0x00,0x00,0xC4,0x4E,0x41,0x40,

0x00,0x00,0x01,0x7D,0x55,0x55,0x55,0x55,0x55,0x55,0x55,0x7D,0x01,0xFF,0x00,0x00,

0x00,0x08,0x48,0xC8,0x48,0x7F,0x48,0x48,0xC8,0x00,0x28,0x48,0xFF,0x88,0x08,0x08

};//逆向--行列式

uchar code you[]={

0x00,0x40,0x60,0x40,0x40,0x40,0x47,0x58,0x60,0x40,0x4E,0x43,0x40,0x40,0x40,0x40,/*"立",0*/ 0x00,0x32,0x0A,0x62,0x42,0x52,0x4A,0x42,0x3A,0x02,0x3A,0x42,0x00,0xFF,0x00,0x01,/*"德",1*/

0x00,0x00,0x3F,0x41,0x81,0x41,0x01,0x00,0x00,0x7F,0x83,0x45,0x09,0x11,0x21,0x20,/*"躬",2*/ 0x00,0x00,0x00,0x00,0x00,0x7F,0x80,0x40,0x00,0x00,0x00,0x00,0xFF,0x00,0x01,0x02,/*"行",3*/ 0x00,0x04,0x04,0x07,0x7F,0x85,0x47,0x15,0x35,0x0F,0x04,0x04,0x00,0xFF,0x00,0x00,/*"博",4*/ 0x00,0x20,0x70,0x0C,0x02,0x00,0x00,0x0E,0x30,0x40,0x90,0x20,0x7F,0x00,0x00,0x00,/*"识",5*/ 0x00,0x00,0x08,0x09,0x0B,0x0B,0x0D,0x7D,0x89,0x49,0x09,0x09,0x00,0x0F,0x30,0x40,/*"厚",6*/

0x00,0x20,0x60,0x21,0x12,0x0C,0x0C,0x13,0x20,0x40,0x40,0x00,0x7F,0x80,0x41,0x01/*"技",7*/ };

uchar code hsm[]={

0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f,

0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f

}; //行扫描void ys(uint a) //延时程序

{

uint b,c;

for(b=a;b>0;b--)

for(c=110;c>0;c--);

}

void main() //主函数

{

while(1)

{

uchar tt,n,i;

for(n=12;n>0;n--) //走字速度调节

{

for(i=0;i<16;i++)

{

if(i<8)

{P3=0xff;P1=hsm[i];} //行扫描

if(i>=8&i<16)

{P1=0xff;P3=hsm[i];}

P2=zuo[i+tt];

P0=you[i+tt]; //取字模

ys(3);

}

}

tt++; //字位移

if(tt==112)

{tt=0;}

}

}

点阵显示汉字滚动图解

点阵的汉字滚动实现其实很简单,用一句话概括就是:一边在行(列)上进行扫描,一遍循环依次取出显示代码输入到列(行)上。 以我们板上载有的8×8点阵为例,当要实现2个汉字的滚动时,我们把整个滚动的过程拆开,会发现每一次完整的滚动,点阵要显示2×8=16个状态。我们可以把两个汉字的行(或列)扫描代码通过字模提取软件提出后保存在数组里,然后顺序循环的去取数组里的数据放到行(或列)上就可。 我们用图示来解析一下整个过程。我们假设要显示两个汉字”人”和”天”的左右滚动。 首先我们假设在行和列的输入数据中,1代表亮,0代表灭。数组code里保存好从字模软件中按行提取出来的扫描码,假设code[15]=A0,A1,A2,A3,A4,A5,A6,A7,B0,B1,B2,B3,B4,B5,B6,B7(A代表“人”字的列扫描码,B代表“天”字的列扫描码) 思路是这样的: 第1个时段T1:(完整的汉字“天”) 时刻t0,R=0000_0001,L=A0,R0那一列显示;、 时刻t1,R=0000_0010,L=A1,R1那一列显示; 时刻t2,R=0000_0100,;L=A2,R2那一列显示; ……. 时刻t7,R=1000_0000,;L=A7,R7那一列显示; 然后又回到时刻t0的扫描状态,循环扫描。只要在这个T1时间段内按这样扫描的话,就形成了A0—A7所代表的数据,即汉字“人”

第2个时段T2:(开始滚入“天”字) 时刻t0,R=0000_0001,L=A1,R0那一列显示;、 时刻t1,R=0000_0010,L=A2,R1那一列显示; 时刻t2,R=0000_0100,L=A3,R2那一列显示; ……. 时刻t7,R=1000_0000,L=B0,R7那一列显示;“天”字第1列 然后又回到时刻t0的扫描状态,循环扫描。只要在这个T2时间段内按这样扫描的话,就形成了A1—A7和B0所代表的数据,如下图: 第3个时段T3: 时刻t0,R=0000_0001,L=A2,R0那一列显示;、 时刻t1,R=0000_0010,L=A3,R1那一列显示; 时刻t2,R=0000_0100,;L=A4,R2那一列显示; ……. 时刻t6,R=1000_0000,L=B0,R6那一列显示;“天”字第1列 时刻t7,R=1000_0000,L=B1,R7那一列显示;“天”字第2列 然后又回到时刻t0的扫描状态,循环扫描。只要在这个T3时间段内按这样扫描的话,就形成了A1—A7和B0--B1所代表的数据,如下图: 第4个时段T4: 时刻t0,R=0000_0001,L=A2,R0那一列显示;、 时刻t1,R=0000_0010,L=A3,R1那一列显示; 时刻t2,R=0000_0100,;L=A4,R2那一列显示; ……. 时刻t5,R=1000_0000,L=B0,R5那一列显示;“天”字第1列 时刻t6,R=1000_0000,L=B1,R6那一列显示;“天”字第2列 时刻t7,R=1000_0000,L=B2,R7那一列显示;“天”字第3列 然后又回到时刻t0的扫描状态,循环扫描。只要在这个T4时间段内按这样扫描的话,就形成了A1—A7和B0—B2所代表的数据,如下图:

12864点阵型液晶显示屏的基本原理与使用方法(很详细)

12864点阵型液晶显示屏的基本原理与使用方法(很详细) 点阵LCD的显示原理 在数字电路中,所有的数据都是以0和1保存的,对LCD控制器进行不同的数据操作,可以得到不同的结果。对于显示英文操作,由于英文字母种类很少,只需要8位(一字节)即可。而对于中文,常用却有6000以上,于是我们的DOS前辈想了一个办法,就是将ASCII表的高128个很少用到的数值以两个为一组来表示汉字,即汉字的内码。而剩下的低128位则留给英文字符使用,即英文的内码。 那么,得到了汉字的内码后,还仅是一组数字,那又如何在屏幕上去显示呢?这就涉及到文字的字模,字模虽然也是一组数字,但它的意义却与数字的意义有了根本的变化,它是用数字的各位信息来记载英文或汉字的形状,如英文的'A'在字模的记载方式如图1所示: 图1“A”字模图 而中文的“你”在字模中的记载却如图2所示:

图2“你”字模图 12864点阵型LCD简介 12864是一种图形点阵液晶显示器,它主要由行驱动器/列驱动器及128×64全点阵液晶显示器组成。可完成图形显示,也可以显示8×4个(16×16点阵)汉字。 管脚号管脚名称LEVER管脚功能描述 1VSS0电源地 2VDD+5.0V电源电压 3V0-液晶显示器驱动电压 4D/I(RS)H/L D/I=“H”,表示DB7∽DB0为显示数据 D/I=“L”,表示DB7∽DB0为显示指令数据5R/W H/L R/W=“H”,E=“H”数据被读到DB7∽DB0 R/W=“L”,E=“H→L”数据被写到IR或DR 6E H/L R/W=“L”,E信号下降沿锁存DB7∽DB0 R/W=“H”,E=“H”DDRAM数据读到DB7∽DB0 7DB0H/L数据线 8DB1H/L数据线 9DB2H/L数据线 10DB3H/L数据线 11DB4H/L数据线 12DB5H/L数据线 13DB6H/L数据线 14DB7H/L数据线 15CS1H/L H:选择芯片(右半屏)信号 16CS2H/L H:选择芯片(左半屏)信号 17RET H/L复位信号,低电平复位

LED电子显示屏产品说明书

显 示 屏 使 用 说 明 制作:深圳市百一光电科技有限公司LED显示屏简介

●LED产品的性能特性 文本LED显示屏和图文LED显示屏应具有在详细规范中规定的移入移出方式及显示方式。计算机视频LED显示屏应具有:动画功能。要求LED显示屏动画显示与计算机显示器相对应区域显示一致;文字显示功能。要求文字显示稳定、清晰串扰;灰度功能。要求具有在详细规范中规定的等级灰度。电视视频LED显示屏除具有动画、文字显示、灰度功能外,应可放映电视、录像画面。行情LED显示屏具有与其相应的行情显示能力。 ●LED显示屏怎样分类(LED显示屏可依据下列条件分类): 1.使用环境 LED显示屏按使用环境分为室内LED显示屏和户外LED显示屏,半户外LED显示 屏。 2.显示颜色 LED显示屏按显示颜色分为单基色LED显示屏(含伪彩色LED显示屏),双基色 LED显示屏和全彩色(三基色)LED显示屏。按灰度级又可分为16、32、64、128、256级灰度LED显示屏等。 3.显示性能 LED显示屏按显示性能分为文本LED显示屏、图文LED显示屏,计算机视频LED 显示屏,电视视频LED显示屏和行情LED显示屏等。行情LED显示屏一般包括证券、利率、期货等用途的LED显示屏。 4.基本发光点非行情类LED显示屏中,室内LED显示屏按采用的LED单点直径可分为Φ3mm、 Φ375mm、Φ5mm、Φ8mm、和Φ10mm等显示屏;室外LED显示屏按采用的象素直径可分为Φ19mm、Φ22mm和Φmm26等LED显示屏。行情类LED显示屏中按采用的数码管尺寸可分 2.0cm(0.8inch)、2.5cm(1.0inch)、 3.0cm(1.2inch)、 4.6cmm(1.8inch)、 5.8cm(2.3inch)、 7.6cm(3inch)等LED显示屏。

12864点阵液晶显示模块的原理

12864点阵液晶显示模块的原理 12864 点阵液晶显示模块的原理12864 点阵液晶显示模块(LCM)就是由128*64 个液晶显示点组成的一个128 列*64 行的阵列。每个显示点对应一位二 进制数,1 表示亮,0 表示灭。存储这些点阵信息的RAM 称为显示数据存储器。要显示某个图形或汉字就是将相应的点阵信息写入到相应的存储单元中。图形 或汉字的点阵信息当然由自己设计,问题的关键就是显示点在液晶屏上的位置(行和列)与其在存储器中的地址之间的关系。由于多数液晶显示模块的驱动 电路是由一片行驱动器和两片列驱动器构成,所以12864 液晶屏实际上是由左 右两块独立的64*64 液晶屏拼接而成,每半屏有一个512*8 bits 显示数据RAM。左右半屏驱动电路及存储器分别由片选信号CS1 和CS2 选择。(少数厂 商为了简化用户设计,在模块中增加译码电路,使得128*64 液晶屏就是一个 整屏,只需一个片选信号。)显示点在64*64 液晶屏上的位置由行号 (line,0~63)与列号(column,0~63)确定。512*8 bits RAM 中某个存储单元的地址由页地址(Xpage,0~7)和列地址(Yaddress,0~63)确定。每个存储单元存储8 个液晶点的显示信息。为了使液晶点位置信息与存储地址的对应关系更直 观关,将64*64 液晶屏从上至下8 等分为8 个显示块,每块包括8 行*64 列个 点阵。每列中的8 行点阵信息构成一个8bits 二进制数,存储在一个存储单元 中。(需要注意:二进制的高低有效位顺序与行号对应关系因不同商家而不同) 存放一个显示块的RAM 区称为存储页。即64*64 液晶屏的点阵信息存储在8 个存储页中,每页64 个字节,每个字节存储一列(8 行)点阵信息。因此存储单 元地址包括页地址(Xpage,0~7)和列地址(Yaddress,0~63)。例如点亮128*64 的屏中(20,30)位置上的液晶点,因列地址30 小于64,该点在左半屏第29 列,所以CS1 有效;行地址20 除以8 取整得2,取余得4,该点在RAM 中页

LED显示屏操作流程说明书

LED显示屏组装及应用说明书 一、LED显示屏基础知识 (3) 二、LED显示屏同步与异步控制系统工程图 (14) 1、异步系统工程简易图 (14) 2、同步系统工程简易图 (15) 三、LED相关软件、卡说明及其应用 (一)L ED显示屏电气极性判断 (16) (二)L ED异步卡 1、励研系列卡(CL2005软件) (17) 2、诣阔系列卡(LED图文控制系统软件) (23) 3、其它卡…………………………………………………………………………………………..? (三)L ED同步卡 1、灵星雨系列卡 (28) 四、LEDLED显示产品 (36) 1、户外产品………………………………………………………………………………… (1)P H10………………………………………………………………………………… (2)P H16…………………………………………………………………………………

(3)P H20(全彩)………………………………………………………………………………… 2、室内产品 (40) (1)P H3.0………………………………………………………………………………… (2)P H3.75………………………………………………………………………………… (3)P H5.0………………………………………………………………………………… 3、亚户外产品………………………………………………………………………………… (1)P H10亚户外…………………………………………………………………………… (2)P H5.0半户外…………………………………………………………………………… 五.显示屏操作注意事项及维修资料 (43)

基于FPGA的LED16×16点阵汉字显示设计(VHDL版)

毕业设计(论文)开题报告 设计(论文)题目基于FPGA的LED 16×16点阵汉字显示设计 一、选题的背景和意义: LED点阵显示屏是集微电子技术、计算机技术、信息处理技术于一体的大型显示屏系统。它以其色彩鲜艳,动态范围广,亮度高,寿命长,工作稳定可靠等优点而成为众多显示媒体以及户外作业显示的理想选择。受到体育场馆用LED显示屏需求快速增长的带动,近年来,中国LED 显示屏应用逐步增多。目前,LED已经广泛应用在银行、火车站、广告、体育场馆之中。而随着奥运会、世博会的临近,LED显示屏将广泛的应用在体育场馆以及道路交通指示中,LED显示屏在体育广场中的应用将出现快速增长。 因此,本设计是很有必要的,之所以基于FPGA设计是因为现场可编程门阵列(FPGA)设计周期小,灵活度高,适合用于小批量系统,提高系统的可靠性和集成度。并且采用编写灵活的VHDL 语言编写主程序。本设计可以方便的应用到各类广告宣传中。 二、课题研究的主要内容: 1. 实现16×16点阵的汉字显示; 2. 实现有限汉字显示; 4. 实现汉字的滚动显示; 5. 完成方案论证。 三、主要研究(设计)方法论述: 通过去图书馆查阅书籍收集资料,同时在搜索引擎上检索资料,分析借鉴已有类似产品、设计方案与成功经验,选择几种可行方案比对,最后确定最切实可行的方案展开设计。 通过Multisim或Quartus软件对系统进行模拟仿真,对电路功能进行改进与完善。 在EDA试验箱上进行调试。 四、设计(论文)进度安排:

时间(迄止日期)工作内容 2010.5.17-5.23 理解并确认毕业设计任务书,撰写完成毕业设计开题报告(第1周) 2010.5.24-5.30 完成调研与资料收集、整理 (第2周) 2010.5.31-6.6 设计方案及原理框图确定 (第3周) 2010.6.7-7.4 电路资料收集,单元电路设计 (第4、5、6、7周) 2010.7.5-7.18 电路仿真与改进、完善 (第8、9周) 2010.19-8.1 资料整理 (第10、11周) 2010..8.2-8.8 书写毕业设计报告 (第12周) 2010.8.9-8.16 (第13周)修改毕业设计报告并整理装订 五、指导教师意见: 指导教师签名:年月日六、系部意见: 系主任签名:年月日 目录

显示屏使用说明书

产品说明书 一、显示屏线材连接 (2) 1.1显示屏电源线布线和连接 (2) 1.2屏体功率和电流的计算 (3) 1.3箱体内的接线实物图 (3) 1.4显示屏数据网线布线说明 (4) 1.5网线制作 (5) 二、控制系统的调试和软件设置 (6) 2.1电脑配置要求 (6) 2.4接收卡程序的发送和显示屏的连接 (9) 2.4.1接收卡加载程序 (9) 具体操作如下;打开LED演播室,选择“设置”中的“软件设置”。(如图1-17) (9) 2.4.2显示屏连接 (12) 三、节目制作流程 (13) 3.1节目窗口都可以播放那些内容 (13) 3.2.我们建一个整屏播放视频文件窗口 (14) 3.2.1新建节目页 (14) 3.2.2新建节目窗 (14) 3.3如何在一个屏上分多个窗口播放 (15) 4.1远程实时显示屏管理 (23) 4.2设置远程控制服务器 (23) 4.3远程显示屏管理 (23) 4.4远程控制 (25) 4.5打开定时指令表 (25) 2.2增加定时指令 (26) 2.2.1第一步:打开“定时指令”对话框 (26) 1、日常保养: (29)

一、显示屏线材连接 1.1显示屏电源线布线和连接 例如:现在一个长四个箱子,高三个箱子的P20全彩的标准显示屏,看如下电源线的布线说明: 注意:只画出了火线的连线示意图,零线和接地保护线未表示出来。 说明:(屏体直接使用单相220V/50Hz交流电供电,为了使供电系统平衡采用AC380V三相五线制布线。 该显示屏的最大功率为10kW,正常工作时的功率为6kW。建议采用线径为5×10mm2三相五线多芯铜芯电线输入到三相100A总空气开关上,从总空气开关输出的电线分多路线路到单相32A空气开关上,从单相空气开关到屏体用3×4mm2单相三线电线。在屏体配电箱内需配装三相100A总空气开关和三相防雷设备。

16×16点阵滚动显示汉字c51程序

16×16点阵滚动显示汉字c51程序 给大家共享一个51单片机驱动的16×16点阵滚动显示汉字的程序,是公车上用的。 下载源代码 #include #define int8 unsigned char #define int16 unsigned int #define int32 unsigned long int8 flag; int8 n; int8 code table[][32]={ 欢迎您乘坐广州三汽公司公共汽车大学城2线开往大学城请您坐好扶稳 具体的字码省略,请点此处下载本列16×16点阵汉字滚动显示源代码 }; void delay(void); int16 offset; void main(void) { int8 i; int8 *p; flag=0x10;

n=0; TMOD=0x01; TH0=0xb1; TL0=0xe0; ET0=1; EA=1; TR0=1; p=&table[0][0]; while (1) { for (i=0;i<8;i++) //显示左半边屏幕 { P0=*(p+offset+2*i); P2=i|0x08; //P2.4=0,P2.3=1 选中U2, 输出扫描码给U6 delay(); P0=*(p+offset+2*i+1); P2=i|0x10; //P2.4=1,P2.3=0 选中U3, 输出扫描码给U7 delay(); } for (i=8;i<16;i++) //显示右半边屏幕 {

P0=*(p+offset+2*i); P2=(i-8)|0x20; //P2.5=1 P2.4=0, P2.3=0 选中U4,输出扫描码U8 delay(); P0=*(p+offset+2*i+1); P2=(i-8)|0x40; //P2.6=1 P2.5=0, P2.4=0 选中U5,输出扫描码U9 delay(); } } } void delay(void) { int16 i; for (i=0;i<50;i++) ; } void timer0() interrupt 1 using 3 { TF0=0; TH0=0xb1; TL0=0xe0; if (n1100)

单片机设计8X8LED点阵显示原理与编程技术

#i nclude unsigned char code taba[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; unsigned char code tabb[]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80}; void delay(void) { unsigned char i,j; for(i=10;i>0;i--) for(j=248;j>0;j--); } void delay1(void) { unsigned char i,j,k; for(k=10;k>0;k--) for(i=20;i>0;i--) for(j=248;j>0;j--); } void main(void) { unsigned char i,j; while(1) {

for(j=0;j<3;j++)//from left to right 3 time { for(i=0;i<8;i++) { P3=taba[i]; P1=0xff; delay1(); } } for(j=0;j<3;j++)//from right to left 3 time { for(i=0;i<8;i++) { P3=taba[7-i]; P1=0xff; delay1(); } } for(j=0;j<3;j++)//from top to bottom 3 time { for(i=0;i<8;i++) { P3=0x00; P1=tabb[7-i]; delay1(); } }

LED显示屏控制软件操作说明书(灵信V3.3)

第一章概述 1.1 功能特点 《LED Player V3.3》是本公司新推出的一套专为LED显示屏设计的功能强大,使用方便,简单易学的节目制作、播放软件,支持多种文件格式:文本文件,WORD 文件,图片文件(BMP/JPG/GIF/JPEG...),动画文件(SWF/Gif)。 2.2 运行环境 ◆操作系统 中英文Windows/7/NT/XP ◆硬件配置 CPU: 奔腾600MHz以上 内存:128M ◆相关软件 OFFICE2000--如需WORD文件必须安装 第二章安装与卸载 2.1 安装 《LED Player》软件安装很简单,操作如下:将LED Player播放软件的安装光盘插入电脑光驱,即可显示LED Player播放软件的安装文件,双击LED Player,即可实现轻松安装。 《LED Player》软件安装成功后,在【开始】/【程序】里将出现“LED软件”程序组,然后进入该程序组下的“LED Player”,单击即可运行,如图所示, opyright ? 2005-2007 Listen tech. All Rights Reserved 灵感设计诚信

同时,桌面上也出现“LED Player”快捷方式:如右图所示,双击它同样可以启动程序。2.2 卸载 《LED Player》软件提供了自动卸载功能,使您可以方便地删除《LED Player》的所有文件、程序组和快捷方式,用户可以在“LED软件”组中选择“卸载LED Player”,也可在【控制面板】中选择【添加/删除程序】快速卸载. 第三章使用详解 3.1 节目组成 每块显示屏由一个或多个节目页组成。节目页是用来显示用户所要播放的文本、图片、动画等内容。区域窗口有十一种:图文窗、文本窗、单行文本窗、静止文本窗、时间窗、正计时窗、倒计时窗、模拟时钟窗、表格窗、动画窗、温度窗。 文件窗:可以播放各种文字、图片、动画、表格等几十种文件。 文本窗:用于快速输入简短文字,例如通知等文字。

LED电子显示屏使用说明

LED FOR WINDOWS LED电子显示屏 使用说明书 北京金特维技术有限公司

目录 一、异步显示屏系统构成及安装 (2) 二、软件的安装 (3) 三、软件使用 (4) 四、常见问题回答 (21) 五、功能键说明 (22)

一、异步显示屏系统构成及安装 本系统由LED 电子显示屏屏体、上位计算机、与计算机和控制器串口通讯线缆组成。 用户主要完成串口通讯线缆的制作。线缆两头为两DB9插孔头(如图1.1所示),制作时2对2(主机信号接收可不连),3对3(主机信号发送),5对5(地线)即可。使用时,将线缆一头与计算机的一个串口相连,另一头与LED 电子屏屏体相连。 DB9插头 DB9插孔 图1.1 1 2 3 4 5 5 4 3 2 1 9 8 7 6

1、1 安装: 对于像文件名后面的后缀是.EXE的软件直接将其复制到您的计算机中既可使用,如果是setup的安装文件,打开它,按照提示进行安装即可. 1、2 卸载: 如果您需要进行对此软件的卸载,只要找到此软件的卸载程序启动即可.如果是直接复制到您计算机的软件,它将不会有卸载程序.而您只要将其删除就可以啦. 1、3 运行环境: ◆操作系统 中文Windows95/98/ME(不建议使用XP系统) ◆最低配置 CPU:奔腾100MHz以上 内存:32M 显卡:SVGA 16位色显示模式以上 其它:RS232口 ◆建议配置 CPU:奔腾233MHz或更高 内存:64M以上 显卡:SVGA 24位色以上显示模式 其它:RS232口 USB口( 需要USB对COM口的数据转换线 )

16 16点阵LED循环显示汉字汇编语言设计

LED16X16点阵显示 课程设计报告 学院信息工程学院 专业通信工程 班级0801 学生姓名 指导老师 二0一0年十二月 一、设计目的 本次课程设计目的剖析试验箱,利用微机接口芯片8255,并行控制LED点阵显示;其次就是掌握8088微机系统与LED点阵显示模块之间接口电路设计及编程,了解LED点阵显示的基本原理和如何来实现汉字的的循环左移显示。 二、设计内容 利用598H试验系统扩展接口CZ7座,在控制板MC1上以并行通信的方式控制LED点阵显示。要求自建字库,编制程序实现点阵循环左移显示汉字,并要求通过protues仿真软件画出电路图,运行程序。 三、硬件电路设计 整个电路由8088CPU,两片8255,1个74ls373,1个74LS138,1个16×16的LED,5个7407。该电路可静态显示1个16*16位的汉字,也可循环显示。 1、8255 Intel8255A是一种通用的可编程序并行I/O接口芯片,又称“可编程外设接口芯片”,是为Intel8080/8085系列微处理据设计的,也可用于其它系列的微机系统。可由程序来改变其功能,通用性强、使用灵活。通过8255A,CPU可直接同外设相连接,是应用最广的并行I/O接口芯片。其中含3个独立的8位并行输入/输出端口,各端口均具有数据的控制和锁存能力。可通过编程设置各端口的工作方式和数据传送方向(入/出/双向)。 2、138译码器 译码器是组合逻辑电路的一个重要的器件,74LS138的输出是低电平有效,故实现逻辑功能时,输出端不可接或门及或非门,74LS138与前面不同,其有使能端,故

使能端必须加以处理,否则无法实现需要的逻辑功能。发光二极管点亮只须使其正向导通即可,根据LED的公共极是阳极还是阴极分为两类译码器,即针对共阳极的低电平有效的译码器;针对共阴极LED的高电平输出有效的译码器。 3、373锁存器 74LS373是低功耗肖特基TTL8D锁存器,内有8个相同的D型(三态同相)锁存器,由两个控制端(11脚G或EN;1脚OUT、CONT、OE)控制。当OE接地时,若G为高电平,74LS373接收由PPU输出的地址信号;如果G为低电平,则将地址信号锁存。工作原理:74LS373的输出端O0—O7可直接与总线相连。当三态允许控制端OE为低电平时,O0—O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0—O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。 4、LED动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。 点阵式LED绝大部分是采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视觉暂留特性。将连续的几帧画面高速的循环显示,只要帧速率高于24帧/秒,人眼看起来就是一个完整的,相对静止的画面。最典型的例子就是电影放映机。在电子领域中,因为这种动态扫描显示方式极大的缩减了发光单元的信号线数量,因此在LED显示技术中被广泛使用。 以8×8点阵模块为例,说明一下其使用方法及控制过程。图2.1中,红色水平线Y0、Y1……Y7叫做行线,接内部发光二极管的阳极,每一行8个LED的阳极都接在本行的行线上。相邻两行线间绝缘。同样,蓝色竖直线X0、X1……X7叫做列线,接内部每列8个LED的阴极,相邻两列线间绝缘。 在这种形式的LED点阵模块中,若在某行线上施加高电平(用“1”表示),在某列线上施加低电平(用“0”表示)。则行线和列线的交叉点处的LED就会有电流流过而发光。比如,Y7为1,X0为0,则右下角的LED点亮。再如Y0为1,X0到X7均为0,则最上面一行8个LED 全点亮。 现描述一下用动态扫描显示的方式,显示字符“B”的过程。其过程如图3.1 图3.1用动态扫描显示字符“B”的过程 Proteus中只有5×7和8×8等LED点阵,并没有16×16LED点阵,而在实际应用中,要良好地显示一个汉字,则至少需要16×16点阵。下面我们就首先介绍使用8×8点阵构建16×16点阵的方法,并构建一块16×16LED点阵,用于本次设计。

Lcd12864点阵液晶屏显示原理

https://www.doczj.com/doc/ed16563206.html, Lcd12864点阵液晶屏显示原理 Lcd12864,它就是128列+64行的阵列。每个型号的液晶模块都有它的一些参数,下面看下lcd12864显示的一些原理吧。 lcd12864,每个显示点对应一位二进制数,1表示亮,0表示灭。存储这些点阵信息的RAM称为显示数据存储器。要显示某个图形或汉字就是将相应的点阵信息写入到相应的存储单元中。图形或汉字的点阵信息当然由自己设计,问题的关键就是显示点在液晶屏上的位置与其在存储器中的地址之间的关系。 由于多数液晶显示模块的驱动电路是由一片行驱动器和两片列驱动器构成,所以12864液晶屏实际上是由左右两块独立的64*64液晶屏拼接而成,每半屏有一个512*8 bits 显示数据RAM。左右半屏驱动电路及存储器分别由片选信号CS1和CS2选择。 显示点在64*64液晶屏上的位置由行号(line,0~63)与列号(column,0~63)确定。512*8 bits RAM中某个存储单元的地址由页地址(Xpage,0~7)和列地址(Yaddress,0~63)确定。每个存储单元存储8个液晶点的显示信息。 为了使液晶点位置信息与存储地址的对应关系更直观关,将64*64液晶屏从上至下8等分为8个显示块,每块包括8行*64列个点阵。每列中的8行点阵信息构成一个8bits二进制数,存储在一个存储单元中。需要注意:二进制的高低有效位顺序与行号对应关系因不同商家而不同。 存放一个显示块的RAM区称为存储页。即64*64液晶屏的点阵信息存储在8个存储页中,每页64个字节,每个字节存储一列(8行)点阵信息。因此存储单元地址包括页地址(Xpage,0~7)和列地址(Yaddress,0~63)。 例如点亮128*64的屏中(20,30)位置上的液晶点,因列地址30小于64,该点在左半屏第29列,所以CS1有效;行地址20除以8取整得2,取余得4,该点在RAM中页地址为2,在字节中的序号为4;所以将二进制数据00010000(也可能是00001000,高低顺序取决于制造商)写入Xpage=2,Yaddress=29的存储单元中即点亮(20,30)上的液晶点。 1

迷您LED电子显示屏使用说明书1

迷你LED电子显示屏使用说明书 (非常感谢您使用本公司产品!) 一:LED电子胸牌规格: 本工厂LED电子胸牌具体分为如下几个规格: 1—B721 钮扣电池带12V车载型: 车载12V 2—B729 可充电型 B729 B729K B729X 3—B1236 可充电型 B1236 B1236K B1236X 4—B1248 可充电型 B1248 (以上各款均可支持12V车载使用)

二:胸牌详解: 1:产品图解 2:标准配置: 标准配置如下: 配件数量 LED胸牌1个 USB充电器1个 USB传输线1条 CD安装光盘1张 三:贴片型LED桌面屏/LED水晶屏-《B系列》规格:本工厂贴片型LED桌面屏&LED水晶屏具体分为如下几个规格:1—B1664 2—B1696 3—B16128

4—S1664 5—S1696 6—S16128 7—B1664三角屏 8—B1696三角屏 9—B16128三角屏 (以上各款均可支持12V车载使用) 四:贴片型LED桌面屏&LED水晶屏详解:1:产品图解

2:标准配置: 标准配置如下: 配件数量 LED桌面屏&LED水晶屏1个 USB充电器1个 USB传输线1条 CD安装光盘1张 五:模块型LED桌面屏/LED台式屏-《C系列》规格: 本工厂模块型LED桌面屏&LED台式屏具体分为如下几个规格:1—C1664 2—C1696 3—C16128 (以上各款均可支持12V车载使用) 六:模块型LED桌面屏&LED台式屏详解:

1:产品图解 2:标准配置: 标准配置如下: 配件数量 LED桌面屏&LED台式屏1个 USB充电器1个 USB传输线1条 CD安装光盘1张 六:多功能车载屏/LED桌面屏/LED台式屏-《B741-B1272》规格: ---具体参数请咨询本工厂业务人员。

16-16点阵LED显示汉字汇编语言

LED16X16点阵显示课程设计报告 学院 专业 班级 学生 指导老师

一、设计目的 本次课程设计目的剖析试验箱,利用微机接口芯片8255,并行控制LED点阵显示;其次就是掌握8088微机系统与LED点阵显示模块之间接口电路设计及编程,了解LED点阵显示的基本原理和如何来实现汉字的的循环左移显示。 二、设计容 利用598H试验系统扩展接口CZ7座,在控制板MC1上以并行通信的方式控制LED点阵显示。要求自建字库,编制程序实现点阵循环左移显示汉字,并要求通过protues仿真软件画出电路图,运行程序。 三、硬件电路设计 整个电路由8088CPU,两片8255,1个74ls373,1个74LS138,1个16×16的LED,5个7407。该电路可静态显示1个16*16位的汉字,也可循环显示。 1、8255 Intel8255A是一种通用的可编程序并行I/O接口芯片,又称“可编程外设接口芯片”,是为Intel8080/8085系列微处理据设计的,也可用于其它系列的微机系统。可由程序来改变其功能,通用性强、使用灵活。通过8255A,CPU可直接同外设相连接,是应用最广的并行I/O接口芯片。其中含3个独立的8位并行输入/输出端口,各端口均具有数据的控制和锁存能力。可通过编程设置各端口的工作方式和数据传送方向(入/出/双向)。 2、138译码器 译码器是组合逻辑电路的一个重要的器件,74LS138的输出是低电平有效,故实现逻辑功能时,输出端不可接或门及或非门,74LS138与前面不同,其有使能端,故使能端必须加以处理,否则无法实现需要的逻辑功能。发光二极管点亮只须使其正向导通即可,根据LED的公共极是阳极还是阴极分为两类译码器,即针对共阳极的低电平有效的译码器;针对共阴极LED的高电平输出有效的译码器。 3、373锁存器 74LS373是低功耗肖特基TTL8D锁存器,有8个相同的D型(三态同相)锁存器,由两个控制端(11脚G或EN;1脚OUT、CONT、OE)控制。当OE接地时,若G为高电平,74LS373接收由PPU输出的地址信号;如果G为低电平,则将地址信号锁存。工作原理:74LS373的输出端O0—O7可直接与总线相连。当三态允许控制端OE为低电平时,O0—O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0—O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。 4、LED 动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。 点阵式LED绝大部分是采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视

点阵显示器的扫描原理

点阵显示器的扫描原理 8X8点阵 P2口做传送数据端口P0做行扫描(两者可以互换) (图中二极管方向须反向) 如上图P0口从P0.7到P0.0做扫描P0口数据为0X80 二进制数据为10000000 用右移函数_cror_(P0,1) 即可实现扫描使高电位从高位依次移动到低位实现逐行扫描当P0扫描第一行时即数据为10000000 P2口从P2.0~P2.7 送入第一行要点亮的灯这里低电位为选中(被点亮)高电位为不选中(处于熄灭状态)比如P2口数据为01110011即图中左上角第一位第五位第六位被点亮如果取字模阴取法即可显示出字的上面一行的点依次向下右移一位P2口取第二行要显示的点…………至到第八行整个字的字模码全被点亮一次利用人眼的惰性如果P0口P2口扫描的速度足够快>25HZ 即可看到整个屏被点亮的字模即是所要显示的字型由于LED灯点亮须要一定的电流所以通电的时间长短会影响其亮度扫描速度过快亮度会变低扫描太慢人眼会感到有闪烁感。(追求刚刚好的扫描速度正是LED显示屏显示的关键) 关于向上移动显示 在上面的静止显示的基础上加入移动代码即可实现上移(且以P0口称为行扫描,P2口为点阵显示码) 由于P0口从上往下扫描当第一次扫描完一个字后做N个循环后(这里的N指的是扫描次数因为人眼的观看速度所以还是要停留一会儿)第二次扫描P2口P2.0取第2个码依次到P2.7 取第八个码做N 个循环后再加1……至到将数组中的所有字码全部取出用到的代码如下

Main() { P0=0x80;//定义P0口初始化的值为1000 0000 For(i=0;i<48;i++) //此句中的48为字码的个数减8 For(N=0;N<20;N++)//每取一次码之后循环次数也可称为移动速度 { P2=tab[i+N];// P2口所选字码 Delay(300);// 延时函数(也是调整扫描频率的数值) P0=_cror_(P0,1) 右移函数实现扫描 }//此三句在第二个FOR中循环20次20是一般取值也是调整移动速度的数值. } 向下移动 在静止显示的基础上改变扫描顺序再改变字码的排列顺序就可实现 扫描顺序从P0.0到P0.7扫描在第次扫描中也就是第八行P2口的点阵通过算法P2口可以得到数组的第八个元素其算法为通过一个缓存字符串 For(a=0;a<6;a++) For(b=0;b<8;b++) Buffer[a*8+b]=TAB[a*8+7-b] 该算法实现的重新排列的数组为Buffer[0]= TAB[7] Buffer[1]=TAB[6] …… Buffer[8]=TAB[15] Buffer[11]=TAB[12] 位对应 07 1 6 2 5 3 4 4 3 5 2 6 1 70 815 914 1013 1112 1211 1310 149

LED显示屏使用说明书.docx

XXX 电子科技有限公司 LED 显示屏使用说明书 为保证我们的产品可以在合理条件下正常使用,得到您对产品的认可,我们将简述产品的使用 流程以及注意事项,请认真阅读后,再进行LED 显示屏的安装和调试工作。 【硬件设备清单】 LED 显示屏信号连接线电源连接线系统发送卡(装载在电脑主机内)系统接收卡(装载在LED 显示屏内)台式电脑(含DVI 视频输出的独立显卡)LED 显示屏及相关附属配件 【使用流程】 硬件安装,安装步骤如下: 1 、把独立显示卡插装于主板的AGP 插槽,安装好该卡的驱动程序; 2 、将系统发送卡插装于空的PCI 插槽( 如果有使用) ; 3 、用 DVI 信号线把系统发送卡与独立显示卡连接在一起; 4 、用数据控制线将发送卡与电脑串口相连(线);USB 5 、用网线将发送卡与所有接收卡依次串联;( 具体条数根据工程图纸) 6 、检查连接无误即可进行设置或上电调试。 软件安装,安装步骤如下: 1、显卡驱动安装 将显卡驱动程序光盘插入光驱,即可自动进入安装状态,请按提示操作即可。 2、播放软件 安装大屏幕专用播放与设置软件,演播室LED,LEDVISION或其他控制软件; 将随屏所附应用软件光盘插入光驱,复制或安装所有的程序到计算机上(如解码器等)。 【显示屏操作步骤】 开关屏 1 、开屏:请先打开计算机,再打开LED 显示屏。 2 、关屏:请先关掉LED 屏体电源,关掉控制软件,再正确的关闭计算机。 【注意事项】 1、避免在全白屏幕状态下开屏,因为此时对屏体的冲击电流最大; 2 、环境温度过高或散热条件不好时,显示屏LED应注意不要长时间开屏; 3、温湿度适当,环境温度-20 ° C≤ t ≤ 60 ° C(特殊温度要求的除外10%),-95%RH湿度; 4、供电电压符合要求,显LED示屏的供电电 压:220V ± 10% ,频率:50HZ;± 5% 在使用流程中的其他问题,请翻阅相关说明,如有疑问请联系我们。以上考虑难免有不全面的 地方,后续将继续补充,也希望您能多提建议,共同维护和使用好显示屏,谢谢! 领先创新高效服务地址:济南市历城区工业北路东段开源路8 号

LED显示屏操作软件2011用户使用手册_图文(精)

LED视窗图文编辑系统用户使用手册二〇一一年五月 目录 一、概述 (1 1.1、简介 (1 1.2、主要功能特点 (1 二、安装 (2 2.1、运行环境 (2 2.2、安装及卸载 (2 三、制作节目 (3 3.1、界面介绍 (3 3.1.1、软件界面 (3 3.1.2、菜单 (3 3.1.3、工具栏 (6 3.1.4、节目管理 (7 3.1.5、属性信息设置 (8 3.1.6、模拟显示窗口 (9 3.2、系统参数设置 (9 3.3、节目制作流程 (11

3.3.1、新建、编辑工程 (11 3.3.2、新建、编辑节目 (12 3.3.3、添加分区内容 (13 3.3.4、设置节目流水边框 (23 3.3.5、设置节目属性 (23 3.3.6、发送工程到显示屏 (24 四、其他功能 (26 4.1、亮度设置 (26 4.2、定时开关机及校准 (26 4.3、强制开、关机 (27 附件一 LED控制卡接线示意图 (28 一、概述 1.1、简介 LED视窗图文编辑系统软件专为Huaby(华柏图文控制器配套设计,自2007年投放市场,历经几代产品的更新发展,功能日臻完善,操作简单,深受广大用户喜爱。 这款最新推出的LED视窗2011版本是我们在多年从事LED异步控制器研发基础上,通过对大量用户使用习惯调研的基础上,提出的一套最完善的整体解决方案。该编辑软件从功能和使用上都有了很大的改进。 Huaby(华柏LED通用图文控制卡应用国际最新32位嵌入式技术,使脱机操作更加稳定、方便的同时,带来了硬件指标的全面提升,彻底消除了超长显示模式下显

示内容抖动问题,同时Huaby(华柏的精良设计,使该控制系统硬件使用效率非常高,外围元件大大减少,带来成本大幅度降低,通过电源及232通信部分增加了保护设计,消除了带电作业对板卡损伤的隐患,从而大幅提高系统的安全可靠型。 1.2、主要功能特点 ●支持所有(室内、户外单元板,单色和双基色。 ●支持16扫、1/8扫、1/4扫、1/2扫和静态驱动模式(用户可随意选择,智能 识别扫描类型。 ●最多可存储6万多字的信息。 ●支持可以显示万国字符。 ●显示屏控制卡带16M存储容量。 ●支持多分区同时移动。 ●支持动画、表盘时钟。 ●真正的表格图形文字混合文件。 ●提供100多种流水边框供用户选择。 ●可定时休眠显示屏。 ●有单元板检测功能,卡上带检测功能按钮。 ●200级亮度调节。 ●每个节目可以实现时间段播放。 ●系统自动查找显示屏,无需设置通信接口。

16×16点阵LED显示汉字

以下程序在16×16点阵LED上依次显示“梅川酷子”四个字,分别用正向显示和反向显示,间隔两秒钟变换一次,电路图和效果图下图所示。 AT89c52晶振频率为24MHz,用T0定时,改变变量flag值,从而让程序确定显示哪个汉字和显示方式(正向or反向)。 #include 或者#include #define int8 unsigned char #define int16 unsigned int #define int32 unsigned long int8 flag; /* flag变量 MSB 7 6 5 4 3 2 1 0 LSB × ×× Bit5=1,Bit4=0 时,负向显示 Bit5=0,Bit4=1 时,负向显示 Bit[2..0]74HC138的片选信号 */ int8 n; int8 code table[][32]={ {0x88,0x00,0x88,0x00,0x88,0x7F,0x48,0x00,0xDF,0x1F,0xA8,0x10,0x9C,0x1 2,0xAC,0x14,0xEA,0x7F,0x8A,0x12,0x89,0x14,0x88,0x10,0x88,0x7F,0x08,0x 10,0x08,0x14,0x08,0x08},/*"梅",0*/ {0x08,0x20,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x2 1,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x04,0x 21,0x04,0x20,0x02,0x00},/*"川",1*/ {0x00,0x08,0xFE,0x08,0x28,0x0A,0x28,0x7E,0xFE,0x0A,0xAA,0x09,0xAA,0xF F,0xEA,0x00,0x86,0x00,0x82,0x7E,0xFE,0x42,0x82,0x42,0x82,0x42,0xFE,0x 7E,0x82,0x42,0x00,0x00},/*"酷",2*/ {0x00,0x00,0xF8,0x1F,0x00,0x08,0x00,0x04,0x00,0x02,0x00,0x01,0x00,0x0 1,0x00,0x41,0xFE,0xFF,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x 01,0x40,0x01,0x80,0x00}/*"子",3*/ }; void delay(void); void main(void){ int8 i; int8 j; int8 index;

相关主题
文本预览
相关文档 最新文档