2011哈工大录取结束了,我终于功德圆满了。写下我的考研经历,希望对大家有所帮助。
初试:
我是在2010年4月开始准备的,大三下学期就看了看高数和英语,我报的专业考数一英一,所以要尽早。
数学就看了看高数课本,课后题。英语我用奇迹智能英语背了单词,一天50个。每天大概能学三个小时左右。
然后就到了暑假,我报了一个辅导班,全程的,其实也没讲啥,都是很轻松的。
到了大四,开始要努力了,我其实还是很偷懒的,每天上午四个小时,下午经常睡过头,晚上再去自习室,学四个小时,有时候腻了就回宿舍看电影,平均三天一个。数学就看李永乐的全书,英语做历年真题,政治没怎么看,专业课看的超级慢,重点在理解,于是就到了12月。工大办了一个专业课的辅导班,跟着上了,别的专业的辅导班我不知道,不过工大自动化的辅导班可是什么题都没押。就是把重点讲讲,串讲一下。然后到了12月下旬,我终于开始着急。
冲刺开始:每天八点到教室,数学——中午吃饭——英语,专业课——晚饭——政治背会儿——数学,和白天没完的各种。如此持续到一月初,然后又开始急政治和数学。因为政治没怎么看,数学又全部忘掉了。那段时间真的很着急,如果说考研有很辛苦的地方,就是那时了。其实只要心理素质好就行,大家都那样,差不了多少。淡定,一定要淡定。
如此状态到了考试的时候,自我感觉还将就。
我的经验:
1、一定要不放弃,never give up , never never give up , never nevernever give up!!!
2、读书重在效率,效率然后才是时间。没了效率,一切全是浮云。
大家别误会,其实初试是很辛苦的。但是那么漫长的时间,只有自己认为轻松才能熬过去。你觉得生活是甜的,那么苦中也会带甜;你觉得生活是苦的,那么甜的也是苦的。一定要乐观。
考研时有很多人在初试中就放弃了,大多是心理上的崩溃,觉得太难了,坚持不下去,所以初试我觉得考的就是心理上的坚强。
初试的专业课(控制原理):哈工大自动化专业指定的教材是李友善的和胡寿松的自动控制原理。我当时以李的为主,胡的为辅,关键在于理解,各个章节是有联系的,而且各个定理看似全是数学,其实有些推导过程是很重要的,而且你只要理解了,就可以不费力的记下它们。我当时把书看了两遍,仍然觉得没看明白和透彻。建议大家看三遍。推荐参考书:李友善编的440,《自动控制原理学习指导与题解指南》作者张苏英。这两本书都是课后习
题,后者比较全面。不过两本书是两个思路,前者比较接近工大老师的思路(即理解为主)不过答案不全。后者答案全,但是多数是套公式。建议不要两本一起看,那样会很混乱。复试:
哈工大的复试很公平,完全没有漏题或者别的什么猫腻。
笔试:只要按照网站上给的参考书复习就可以了,一定要全面,我就是吃了自以为是的亏,结果笔试的分很低。
面试:工大面试不会因为你什么科研经历都没有就给低分,我大学什么都没参加,就搞好了学过的课程,结果面试分很高,平均70.25。
因为大家对面试的过程感到很神秘,下面我把我的面试过程讲一下:
20号早晨八点,到了指定教室,没多久,一个女老师将我们叫到了一个教室,把19号上午的笔试成绩念了一遍(不得不说工大的效率好高,那可是300份卷子啊),70以下的直接走人,不用参加面试了。然后分成两拨,上下午。我在上午,然后交出七个人抽签,因为有七组老师同时面试,一组面一个学生。轮到我的时候已经十点半了。接着我到指定教室外面等着,先抽一个科技文翻译,就一句,我一看乐了,正好是我的一本自动化专业英语的书上的。然后进门,站讲台上,一个老师开口:英语自我介绍一下吧。然后把科技文翻译一遍。接着一个老师问:你大学期间最擅长的是什么科目?他就针对这个科目提问了几个很简单的问题。另一个老师问:自动控制原理你擅长那部分?我曰:……他就针对这个部分提了几个很简单的问题。第三个老师问了我的奖励情况和科研经历,我只答了如下:没有,没有,没有...然后一个老师说,可以了,你出去吧,谢谢你(我觉得老师好礼貌,于是我赶紧说,辛苦各位老师了,谢谢。大概是这几句)
我的经验:
复试不用担心笔试本校的学生会怎么怎么样,绝对的一视同仁。至于面试,老师们喜欢诚实的孩子,不会就直接说不会,废话不要说。
各科参考书:初试:各科课本都是大家普遍用到(因为我还没有返校,各个名称以后会贴),练习书用李永乐的和我所报的辅导班的(名称同上),英语背单词用奇迹智能英语软件,题就用了历年真题(这一个就够了,做三遍),专业课就用了工大指定教材。
注:今天由于时间关系就这些,我会持续更新。我想说,我在考研时收到过论坛上许多人的帮助,我想把我的经验与教训告诉大家,也希望大家下一届考上理想学校,将这份爱与希望传递下去。
英语:关于单词,前面已经说过了。阅读,我的思路是:文章,题,选项要分开来做专项的训练。
1、文章:单词,长难句,段落,循序渐进地看,至少看三遍。
2、题:将各个选项分类,什么主旨,细节,单词俗语等等。看看都是从什么类型出的题目,然后学会定位。
3、选项:选项有几种典型错误,添加或扩大没有的信息,串行(将原文章中的两行捏成一行,或者修饰的定语提取一段来个修饰错误),还有几种,大家自己总结。总之这几种错误时很典型的,基本上不会跑出去。
这样分开训练一段时间,就可以做整个题了。
|转自|考研论坛
电子技术课程设计一评分:数字显示电子钟 班级: 学号: 姓名: 日期:2015年月日 一、题目:数字显示电子钟 二、设计要求:
1) LED数码管显示小时、分、秒; 2)可以快速校准小时、分;秒计时可以校零; 3)最大显示为23小时59分59秒; 4)秒脉冲信号由1MHz信号经分频器产生; 三、电气原理图 上图为时钟电路总图,电路由秒时钟信号发生器、计时电路和校时电路构成。1)时钟信号发生器部分如下图所示;output端输出1Hz脉冲信号,其为上图中方波脉冲发生电路; 2)计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;用数码管显示时间的译码结果; 3)校时电路采用开关控制秒时钟信号为校时脉冲以完成校时。
四、各功能块的原理说明 1)秒计时器及秒计时校零部分 由一个十进制计数器和一个六进制计数器串联而成为六十进制计数器。在电路设计中采用是74LS161反馈预置法来实现十进制与六进制功能。 图片底部按钮为秒计时校零按钮,按下按钮时,通过与门将LOAD 端置零实现秒计时器的置零
2)分、时计时器及校准部分 时计时器是由两片74LS161级联而成的二十四进制的计数器,分计时器是由两片74LS161级联而成的六十进制的计数器,采用的是反馈复位法。图片中两个计时器下部为时、分校准按钮,按动按钮相当于提供手动的脉冲,通过按动按钮,实现两个计数器的示数的改变,进而实现时间的校准。 3)秒时钟信号发生器 如图为秒脉冲信号发生器,由分频器6次分频1MHz信号产生秒脉冲,每次1/10分频,电路左上角为1MHz信号输入,output为1Hz信号输出
哈尔滨工业大学2016年硕士研究生入学考试 复试及录取工作办法 研院发[2016]4号根据《2016年全国硕士研究生招生工作管理规定》(教学[2015]9号)要求,结合我校今年硕士研究生招生工作的实际情况,现确定2016年硕士研究生入学考试复试及录取工作办法如下。 一、工作原则 坚持对考生进行德智体全面衡量,择优录取、保证质量、宁缺毋滥;在保证人才培养质量的前提下,既尊重考生的意愿,又兼顾国家、国防建设需求和学科建设工作的需要。 复试工作要做到全面考察,有所侧重,在德智体等各方面全面衡量的基础上,突出对专业知识、科研能力、创新精神和综合素质等方面的考核。复试过程要政策透明、程序规范、操作公开、监督机制健全,要提高服务意识、维护考生的合法权益。 二、工作组织 学校成立硕士研究生复试及录取工作领导小组,负责制定和审核有关政策,指导全校的复试及录取工作。复试及录取工作的具体组织由研究生院负责,监督工作由校监察处负责。各院(系)、深圳研究生院与威海校区组成复试及录取工作小组,负责组织本院(系)和校区具体工作。领导小组组长可由院长(系主任)或负责研究生教学的主管领导担任。各院(系)、校区还须设立复试及录取工作监督小组,负责对本院(系)、校区的工作进行监督和检查,并受理考生的举报、投诉等事宜。监督小组组长可由各院(系)、校区书记或副书记担任。 三、录取规模 在2016年硕士研究生招生简章中确定的招生计划基础上,结合各院(系)、校区实际情况和2016年生源情况,确定各院(系)、校区的录取规模。各院(系)、校区应严格按照该规模进行录取,但可根据生源情况适当调节本院(系)、校区内各学科的招生数量。
哈工大2004春季学期水分析化学试题(A) 一、回答下列基本概念(2×5=10) 1.物质的量浓度; 2.拉平效应; 3. EDTA的酸效应; 4. 参比电极; 5. 色谱峰高 二、讨论下面命题( 4× 5=20 分) 1.以钙指示剂 NN 为例,说明金属指示剂的必备条件。 2.对比高锰酸盐指数和化学需氧量测定过程中掩蔽和催化作用的异同。 3.什么是吸收光谱(曲线)?什么是标准曲线?它们有何实际意义? 4.简述气相色谱法的定量方法。 三、填空( 1×15=15 分) (1. 原子吸收光谱仪由( )组成。 )、()、()和 (2. 影响络合滴定的主要因素有( )。 )和 3. ECD和 FPD的中文称谓分别为()和()。 4.在分光光度计中,常因波长范围不同加选用不同材料的容器。现 有下面两种材料的容器,各适用于哪个光区: A.石英比色皿用于();B.玻璃比色皿用于()。 5.水中的余氯采用()法测定,水中余氯在酸性溶液中与() 作用,释放出等化学计量的(),以()为指示剂,用( 准溶液滴定至终点,由消耗的标准溶液的用量求出水中的余氯。 )标 四、实验设计题( 5×3=15 分) 1.氯离子测定中空白实验和加入碳酸钙的作用。 2.设计一个测定铝盐混凝剂中三氧化二铝含量的方案。 3.pH 计的使用及注意事项。 五、计算题( 10×4=40 分) 1.取水样 100.0mL,以酚酞为指示剂,用 0.100mol/L HCl溶液滴定至指示剂刚好褪色,用去 13.00mL,再加甲基橙指示剂,继续用盐酸溶液滴定至 终点,又消耗 20.00mL问水样中有何种碱度?其含量为多少(mg/L表示)?
二OO七年硕士研究生考试试题 考试科目:____水分析化学_________ 考试科目代码:[ 473 ] 适用专业:____市政工程、城市水资源 考生注意:答案务必写在答题纸上,并标明题号。答在试题上无效。 题 号一二三四 总分 分 数20 40 40 50 150分 一. 基本概念(2×10=20分) 1 水质指标 2 色度 3 同离子效应 4 掩蔽作用 5 间接电位分析 6 色谱流出曲线 7 共振线 8 总残渣 9 溴酸钾法 10 酸度 二. 论述题(5×8=40分) 1 试论分子光谱产生的原因及其表达方法。 2 为什么要对色谱柱进行老化处理。 3 金属指示剂在应用时会出现那些问题,怎样防止。 4 基准物质应符合哪些条件。 5 如何确定地表水中碱度的组成形式。 6提高氧化还原反应速度的技术措施。 7 怎样通过试验方法评价分析方法准确度和精密度。 8 原子吸收锐线光源产生的方法。 三. 填空题(2×20=40分) 1 乙二胺四乙酸二钠盐的化学结构式可表示为(1),它的溶解度比乙二胺四乙酸(2)。 2.化学法测水中DO时,先加入(3)和(4)可以看到(5)色沉淀,发生反应的方程式为(6),然后转变为(7)色沉淀,相应的反应方程式为(8)。 3.作为卫生学指标之一的余氯一般包括(9)和(10)两种。 4.在高锰酸盐指数和COD测定过程中,催化剂分别为(11)和(12),而掩蔽剂分别为(13)和(14),指示剂分别为(15)和(16)。 5.通过林邦曲线可以确定金属离子被EDTA(17)的最低(18)。 6.欲检测水中硝基苯的含量可以选择(19)法,也可采用(20)法。四:计算题(10分×5=50分) 1.两种无色物质A和B,反应生成有色物质AB(1:1),混合等体积0.0010mol·L-1 A和B,用1cm比色皿在550nm处测定AB的吸光度值是1.60,已知AB的ε550nm=450L/(mol·cm),试计算AB的解离平衡常数。 2.取100.0mL含负二价硫离子的工业废水,用乙酸锌溶液固定,过滤,其沉淀连同滤纸转入碘量瓶中,加蒸馏水25mL 及10.00mL碘标准溶液和硫酸溶液,放置5min,用0.050mol?L-1Na2S2O3滴定水样和空白分别消耗 1.90mL和3.75mL,求水样中硫离子含量(以S2-mg/L计)。 3.用由玻璃电极与饱和甘汞电极组成的pH计测pH为 4.00的邻苯二甲酸氢钾缓冲溶液时,其电动势为0.211V。而测定两个未知溶液时,其电池电动势分别为0.495V和-0.138V,试判断未知溶液的酸碱性。
哈工大数字电路设计加减乘三则计算器
————————————————————————————————作者:————————————————————————————————日期:
H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流! 哈尔滨工业大学
一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 置数 置数 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。 图1 加减 乘法 加减法运算 加法 减法 乘法区 显示输加减法区显示
哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;
use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);
哈尔滨工业大学 2011年硕士研究生考试试题 考试科目:水分析化学考试科目代码:[873] 适用专业:市政工程、城市水资源 考生注意:答案务必写在答题纸上,并标明题号,答在试题上无效。可以使 一. ①色度②掩蔽作用③永久硬度④参比电极⑤同离子效应⑥老 化时间⑦浊度⑧色谱流出曲线⑨溴酸钾法⑩总残渣 二.论述题(5分×8=40分) ①简述水样pH值测定原理及相关反应方程式。 ②水中碱度来源和组成有哪些?在连续滴定法中如何根据测定结果判断水 中碱度组成? ③以EBT测定水中硬度为例说明金属指示剂的作用原理。 ④简述化学需氧量COD测定过程和原理。 ⑤说明莫尔法测定Cl-时做空白实验和加入CaCO3的目的。 ⑥比较示差分光光度法与普通分光光度法的异同点。 ⑦简述空心阴极灯产生锐线光源的基本原理,并简单绘图说明。 ⑧简述高效液相色谱仪的组成和各部分作用。 三.填空题(2分×20=40分) ①酸碱滴定突跃范围与(1)和(2)有关。 ②生活饮用水水质标准(GB5749—2006)共有106项检测指标,其中常规检测项目(3)项,非常规检测项目(4)项。 ③标准偏差又称(5),当测定次数趋于无限多时称为总体标准偏差。 ④EDTA分子中,有(6)个可与金属离子形成配位键的原子,其中包括(7)个氮原子和(8)个羧基氧原子。 ⑤莫尔法测定水样中Cl-时以(9)为指示剂,以(10)为滴定剂。 ⑥提高氧化还原反应速度的措施有(11)、(12)、(13)。 ⑦pH值电位法测定过程中以(14)为指示电极和(15)为参比电极。 ⑧废水中的硝基苯可用(16)检测器检测。 ⑨吸收光谱法中,可见光区的吸收池采用(17),紫外光区的吸收池可用(18)。 ⑩原子吸收光谱的锐线光源采用(19);(20)是原子吸收分光光度计的心脏部分。 四.计算题(10分×5=50分) 1. 取水样100ml,用0.0500mol/lHCl溶液滴定至酚酞无色时,用去15.00ml ;接着加入甲基橙指示剂,继续用HCl标准溶液滴定至橙红色,又用去9.00ml。问水样中有何种碱度,其含量分别是多少(mg/L)?(以CaCO3计,其相对分子质量为100.1)
1) 混凝分为哪几个阶段,每个阶段的水力指标如何控制? 2) 沉淀分为哪几种类型?发生在哪种构筑物中? 3) 平流沉淀池出水区出口布置形式? 4) 地表水水源和地表水源的水质特点? 5) 河流径流系数与取水构筑物设计之间的关系? 6) 用A/O法分别解释生物脱氮除磷的不同? 7) 混合制截流制系统的计算: 8) 沿线无分流输水管线的能量分布? 9) 重力输水管线如何确定?条数如何确定? 10)绘图解释传统活性污泥法的运行过程? 11)绘图BAF(曝气生物滤池)的运行过程? 12)冲洗水箱容积以及供水高差的计算? 13)SVI=SV/MLSS;R=1.2计算回流液的浓度? 14)如何评价微生物数量以及沉降性能,指标? 15)环状网为何要进行管网平差,水泵扬程计算
在论坛前辈的帮助下我已经顺利考上哈工大环境工程专业。 向前辈学习也在为11的学弟学妹们做点贡献吧。 我的教训就是书一定要找对,而且一定要重视哈工大的复试,每年都有复试不好被刷掉的,这个一定要切记。 我这个只是前辈的补充版,想了解更多的就去看“zhengshui55”的帖子吧,真是个好前辈。 我记得不太清楚了,因为考完复试下来都快虚脱了,而且马上忙着第二天的面试,所以没有立刻记下来,各位抱歉了。 水的部分:1、二次沉淀池后期属于什么沉淀,有什么特点。 2、简述曝气生物滤池的工作原理及优缺点 3、简述生物末法和活性污泥法的优缺点 4介绍几种脱氮除磷的工艺,并比较以后缺点 5、人工湿地的原理优缺点影响因素(这个大家几乎都没答上,所以复习要细致) (还有两道忘记了,想起来再来补充吧。) 大气:1多方面对比二氧化硫二氧化氮的控制技术等等等等。 2 旋风除尘的效率计算,貌似串联公式就可以了,我直接忽略掉了。 (貌似还有题,想起来再补充) 固废:渗滤液的特点及处理技术(还有,想起再补充) 环境监测:1.NO二氧化氮的测定设计,及如何分别检测 2 为什么三蛋的测定会反映水质受有机物污染的情况,如何测定三氮。(待补充) 关于面试,我们今年都是准备的自我介绍但是到我的时候连自我介绍也没有用上,只是闲聊的,老师们还是都是很好的。 还有,我个人觉得哈工大本部不算黑,我是外校的,当然这个仁者见仁智者见智。 希望能帮到大家。 祝大家好运。 还有很重要的一点:答题一定要注意好时间,答工大的卷子三个小时很紧张,可能你都会时间上都没办法让你都答完。
数字电路大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,
表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:液体点滴速度监控装置的设计 设计医用点滴速度自动控制装置。假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。 [设计要求] (1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。 (2)自动调整吊瓶时间小于3分钟,误差范围为预定速度值的10%。 (3)点滴速度的设定范围为20~160滴/分钟 (4)能显示当前点滴速度。 (5)液体停滴时能发出报警。 注:附加功能根据本人能力自行添加(如:带有数字时钟,能显示点滴进行的时间,等等) 题目4:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值
哈工大自动控制原理大作业
一、设计任务: 在新材料的分析测试工作中,需要在较宽的参数范围内真实再现材料的实际 工作环境。从控制系统的角度出发,可以认为,材料分析设备是一个能准确 跟踪参考输入的伺服系统。该系统的框图如图所示。 7. 继续参考题6给出的系统,试设计一个合适的超前校正网络,使系统的相角裕度为50,调节时间小于4秒(按2%准则),稳态速度误差常数为2秒-1。 二、设计过程: 原传递函数 ()042 (1)(2)(1)(1)2 G s s s s s s s = = ++++ 转折频率为11ω=和22ω=,剪切频率122c ωωω==,画出Bode 图如下:
系统的相位裕度2 18090arctan 2arctan 02 γ=---= 为了满足相位裕度50γ≥ 的条件,需要对系统进行超前补偿。由于要求稳态速度误差常数为2秒-1,所以放大系数K=2,即K 保持不变。 取50γ= ,11 1.3sin sin 50r M γ= == 2 2 1.5(1) 2.5(1)s r r c t M M πω??= +-+-??且要求s t 小于四秒。求得 2.1c ω≥,Mr Mr c 12-≤ωω知50.02≤ω。所以根据设计要求50.02≤ω在Bode 图上进行设计, 取2.02=ω(为了计算方便)求得串联超前校正环节传递函数110 12.0)(++=s s s Gc 并且作图如下:
补偿之后的系统传递函数为) 110 )(12)(1()12.0( 2)()()(++++==s s s s s s Go s Gc s G 相位裕度 18090arctan 22.5arctan 4.5arctan 2.25arctan 0.4150.21γ=-+---= 1 1.3sin 50.21 r M = = ,22 1.5(1) 2.5(1) 3.82s r r c t M M s πω??=+-+-=?? 均满足设计条件。 2、计算机辅助设计: (1)校正前伯德图
一. 概念题(每题2分共24分) 1. 大气颗粒物: 气颗粒物或液体微粒均匀地分散在空气中形成的一个庞大的分散体系。它也可称为气溶胶体系。气溶胶体系中分散的各种粒子称为大气颗粒物。 2. 酸沉降: 酸沉降是指大气中的酸性物质通过雨、雾、雪等介质迁移到地 表,或通过气团直接迁移到地表的过程。前者称为湿沉降,后者则为干沉降。 3. 温室效应: 大气中的CO 2吸收了地面辐射出来的红外光,从而使大气温度升 高的现象称为温室效应。 4. 自由基: 自由基被定义为在电子外层有未成对电子的分子、原子或基团。如氧分子具有两个未成对电子,可被看成双自由基。又例如氧分子或水分子在光照下可发生共价键均裂,从而产生成对的自由基。 5. 热岛效应: 因燃料的燃烧而放出大量热量,使城市气温高于周围地带,导致热岛效应 6. 标化分配系数: 以有机碳为基础表示的分配系数,K oc =K p /X oc ,K p =c s /c w , c s 合c w 分别为有机毒物在沉积物中和水中的平衡浓度。X oc :沉积物中有机碳的质量分数。 7. 汞的生物甲基化: 在好氧或厌氧条件下,水体底质中某些微生物能使二价无机汞盐转化为甲基汞和二甲基汞的过程。 8.总悬浮颗粒物: 总悬浮颗粒物是指用标准大容量颗粒采样器在滤膜上所收集到的颗粒物的总质量,其粒径多在 100 μm 以下,尤以10μm 以下的为最 9.土壤的阳离子交换吸附作用: 土壤胶体一般多带负电,能吸附阳离子, 其扩散层的阳离子可被土壤溶液的阳离子等当量交换出来,故称为阳离子交换吸附。其交换反应如下:
10.电子活度; 11.离子交换吸附: 12.一次污染物,二次污染物: 一次污染物:由污染源直接排入环境的污染物,也叫做原发性污染物或原始污染物;二次污染物:由一次污染物在环境中经过物理、化学或者生物转化形成。 二.简答题(每题4分共40分) 1. 土壤中的缓冲作用有哪两种?举例说明其作用原理 土壤胶体吸附有各种阳离子,其中盐基离子(用M代表)和氢离子能分别对酸和碱起缓冲作用。 土壤溶液中的铝离子能形成多核羟基络合物,对碱的缓冲能力更强。其反应式为: (1) 2. 简述有机磷农药在环境中的主要转化途径有机磷农药的非生物降解过程 ①吸附催化水解:吸附催化水解是有机磷农药在土壤中降解的主要途径。
水分析填空题水分析填空题水分析填空题水分析填空题 1.吸光度A与透光率T的关系式为A= —IgT o 2.A=eCL式中£叫摩尔吸光系数,它反映了在一定波长下用吸收光谱法测定该吸光物质 的灵敏度,£越大对光的吸收越强,灵敏度越高。 3.吸收光谱法定量的理论基础是最常用的定律。朗伯-一比耳 4.吸收光谱曲线上最大吸收峰所对应的波长叫,用表示, 在此波长测定灵敏度,通常选用作为分析时的工作波长。 最大吸收波长X max 最高X max 5.高镒酸钾为,它吸收了白色光线中的光线。紫红色绿 色 6.可见光分光光度计工作范围为nm,在比色器中进行测定时,被测物质必须 在仪器工作波长范围有。36()?800 吸收 7.分光光度计主要由、、、四部分组成。光源单色器吸收 池检测器 8.水样中铁的测定可用或显色后进行比色分析。磺基水杨酸邻二氮菲 9.天然水中Fe2+的测定采用邻二氮菲比色法测铁时,需将水中的Fe3+ 以后才能显色测定。还原成Fe2+ 10.采用邻二氮菲比色法测水样中Fe3+时,先测,再测离子,其差值即为Fe3+的含量。总铁Fe2+
11.如水中的氮主要为有机氮和氨氮时,表明水 O 近期受到污染 12.如水中的氮主要为亚硝酸盐时,表明水中有机物的分解 。尚未达到 最后阶段 13.如水中的氮主要为硝酸盐时,说明 。水污染己久 14. 吸收光谱定量分析通常利用 法。标准曲线 15. 利用吸光度具有 性质可利用 量。加和性解联立方程法 16. 对于较高浓度或较低浓度的待测物质,可利用 度法 17. 利用标准曲线法进行测定时,待测物质的浓度应在 范围 18. 利用显色剂对无机离了进行显色比色分析时,应注意控制显色剂的 、 介质的 、及溶液中共存离子的 。用量 酸度 干扰 19.采用PH 计测定水样PH 值时,通常采用 作参比电极, 作指示电极。甘汞电极玻璃电极 20.采用PH 计测定水样PH 值时,两个电极构成的电池电动势与水样的PH 值 成 。正比 21.采用离了选择性电极测定高子浓度时,两个电极构成的电池电动势与水样中待测离了 成线性关系。对数值 指示终点。指示电极的电位突跃 是最适宜的方法。电导法 求得混合物中各组分含 进行测定。示差分光光 内。标准系列浓度 浓度的 22. 23. 24. 25. 和 电位滴定法是根据 为了证明高纯水的质量,应用 色谱法是一种物理化学 气相色谱仪主要由 等五个部分组成。气路系统进样系统分离系统检测器记录部分
DSP-F2812的最小系统设计 姓名 学号 班级 时间
一、设计目的: TMS320F2812DSP是TI公司一款用于控制的高性能、多功能、高性价比的32位定点DSP。它整合了DSP和微控制器的最佳特性,集成了事件管理器,A/D转换模块、SCI通信接口、SPI外设接口、eCAN 总线通信模块、看门狗电路、通用数字I/O口、多通道缓冲串口、外部中断接口等多个功能模块,为功能复杂的控制系统设计提供了方便,同时由于其性价比高,越来越多地被应用于数字马达控制、工业自动化、电力转换系统、医疗器械及通信设备中。 通过本课程的学习,我对DSP的各个模块有了较为深入的了解,希望可以通过对最小系统的设计,进一步加深对DSP的学习,能在实践中运用DSP,提高自己的动手实践能力。 二、设计思路 所谓最小系统就是由主控芯片加上一些电容、电阻等外围器件构成,其能够独立运行,实现最基本的功能。为了验证DSP的最基本的功能,我设计了如下单元:有源电路的设计、复位电路及JATG下载口电路的设计、外扩RAM的设计、串口电路的设计、外扩A/D模块电路的设计。 三、详细设计步骤和原理 1、电源电路的设计 TMS320F2812工作时所要求的电压分为两部分:3.3V的Flash电压和1.8V的内核电压。TMS320F2812对电源很敏感,所以在此推荐
选择电压精度较高的电源芯片TPS767D318。TPS767D318芯片输入电压为+5V,芯片起振,正常工作之后,能够产生3.3V和1.8V两种电压电压供DSP使用。如下图所示: 2、复位电路及JATG下载口电路的设计 考虑到TPS767D301芯片自身能够产生复位信号,此复位信号可以直接供DSP芯片使用,所以不用为DSP设置专门的复位芯片。 在实际设计过程中,考虑到JATG下载口的抗干扰性,在与DSP 相连接的接口均需要采用上拉设计。
2016 年春季学期研究生课程考核 考核科目:航天材料与工艺可靠性技术学生所在院(系):机电工程学院 学生所在学科: 学生姓名: 学号: 学生类别: 考核结果阅卷人
航空航天材料发展现状与展望 一、航空航天材料的地位和作用 1.1 高性能材料是发展高性能飞行器的基础保障 自莱特兄弟制造的人类第一架飞机“飞行者一号”问世以来,航空技术取得了大跨越的发展,以战斗机为代表的军用飞机现已发展到第5 代,其最大飞行速度达4倍声速。在此过程中,航空材料的发展所经历的阶段如表1所示。可以看到,材料的进步对飞机的升级换代起到关键的支撑作用。 表 1 飞机机体材料发展阶段 发展阶段年代机体材料 第 1 阶段1903—1919 木、布结构 第 2 阶段1920—1949 铝、钢结构 第 3 阶段1950—1969 铝、钛、钢结构 第 4 阶段1970—21 世纪初铝、钛、钢、复合材料结构(以铝为主)第 5 阶段21 世纪初至今复合材料、铝、钛、钢结构(以复合材料为主) 发动机是飞机的“心脏”,其性能的优劣制约发动机是飞机的“心脏”,其性能的优劣制约着飞机的能力,而发动机性能的提高又与所使用的耐高温结构材料密切相关。随着飞机航程的加长和速度的提高,要求发动机推力、推重比(发动机推力与重量之比)越来越大,这就意味着发动机的压力比、进口温度、燃烧室温度以及转速都须极大地提高。根据美国先进战斗歼击机研究计划和综合高性能发动机技术研究计划,发动机推重比要达到20,而其油耗比要比目前再降低50%。众所周知,推重比的提高取决于发动机涡轮前进口温度的提高:对于推重比在15~20以上的发动机,其涡轮前进口温度最高达2227~2470℃。高性能航空发动机对材料的性能提出了更高要求,除高比强度、高比模量外,对耐高温性能需求更为突出。由此可见,航空发动机性能的提高有赖于高性能材料的突破。 1.2 轻质高强度结构材料对降低结构重量和提高经济效益贡献显著 轻质、高强度是航空航天结构材料永远追求的目标。碳纤维复合材料是20世纪60年代出现的新型轻质高强度结构材料,其比强度和比模量是目前所有航空航天材料中最高的。有数据表明:碳纤维复合材料的比强度和比刚度超出钢与铝合金的5~6倍。复合材料在飞行器上的应用日益扩大,质量占比在不断增加。材料具有较高的比强度和比刚度,就意味着同样质量的材料具有更大的承受有效载荷的能力,即可增加运载能力。结构重量的减少意味着可多带燃油或其他有效载荷,不仅可以增加飞行距离,而且可以提高单位结构重量的效费比。飞行器的结构重量每减1磅所获得的直接经济效益见表2。 表 2 飞行器结构减重的直接经济效益 机种减重经济效益/(万美元·磅-1) 小型民机50 直升机300 战斗机400
数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学
实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:
2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。
制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输
2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:
2007年秋答卷: 1、高浊水的定义?试画图说明典型的高浊水浑液面沉降历时曲线分为几个沉淀区段?每个沉淀区段的沉淀特征?并分析原因? 答:高浊度水是指在沉降过程中出现明显的清混水交界面,即以浑液面沉降为特征的水体。浊度每年经常(20~30天)出现浊度在1000NTU以上的水体。(见手写稿) 2、试着分析松花江水中冬季和夏季水质有哪些特点?分别讨论对水质净化有哪些影响? 答: 冬季:低温低浊水,由于冬季水量小,而工业生产并未减轻,从而排入水体的污染物浓度增加,因此水中氨氮及有机污染物含量较高,由于温度较低,水中藻类含量较低,而由于冬季水面有冰盖,从而水中溶解氧量较低,具有较厚的厌氧水层,在厌氧条件下,江中底泥沉积物释放有机物污染物,以及磷、铁锰含量将偏高。 由于松花江冬季水以低温低浊水为主要特色,氨氮及有机污染物含量较高。 影响:水温低,粘度大,布朗运动减弱;浊度低,颗粒密度低,碰撞效率降低;发生在冬季枯水季节,江河里的流量大大减少,而城市的生活污水量以及工业废水并没有减少,这就导致腐植酸、蛋白质等有机物在低温低浊水的总颗粒中所占的比例增加,使得颗粒表面电荷增加。又由于有机物污染物的含量较高,其大部分为DBPs的前驱物,如不加处理,将增加DBPs的浓度,在后续处理过程中将而氨氮含量较高时,如为经强化处理或者预处理降低氨氮,将影响消毒工艺中氯的消毒作用,消耗氯,增加消毒工艺的投氯量,从而将导致出厂水的DBPs浓度较高,影响水质安全性。 夏季:以常温常浊水质为主体,水量较大,同时由于降雨量加大,因此面源污染较为严重,水中有机污染物的浓度也将增大,同时,由于夏季光照增强,从而为水体的藻类繁殖提供有利条件,因此水中含藻量增加,同时藻毒素的浓度有所增大。由于降雨的影响,水浊度变化较大。由于夏季人类活动比较频繁,从而加大人为污染,也就加大了有机物污染物的浓度。 影响:浊度变化较为频繁将影响水处理工艺,藻类的大量繁殖将影响处理工艺中滤池的反冲洗周期,将对工艺的处理效率有所影响;有机物的污染加大将影响水处理工艺的混凝效果。 3、哈尔滨江段下游已开始修建大坝截流工程,试分析该工程对松花江哈尔滨江段水质有何影响?如何保护饮用水源? 答:截流后,松花江江面加宽,流速变缓,泥沙沉积量加大,水面漂浮物也极易大面积滞留。同时江面面积扩大,船只的活动活跃,船上人员的排泄物以及漏油事故将导致水中有机物污染加剧。同时,由于江面的面积加大,为藻类的繁殖提供有利条件,出现季节性水体富营养化威胁。由于流速较缓,江段水体循环交换较为缓慢,污染将加剧,沉积物的增加将导致江底沉积物中铁、锰、腐植酸等的释放。致病微生物浓度将增大,引发传染病。 保护水源: 控制点源污染、面源污染;清除水体底部沉积物;采用各种水体修复技术,如生物操控控制藻类、建立水源保护区等;加强水质监测预警系统。 4、高浊水的絮凝机理?高浊水的絮凝影响因素? 答:絮凝机理分有同向絮凝(指在如机械搅拌、水力等外力作用下产生的流体运动推动脱稳的胶体颗粒碰撞聚集)和异向絮凝(由布朗运动所引起的胶体颗粒碰撞聚集)。 同向絮凝: 指在如机械搅拌、水力等外力作用下产生的流体运动推动脱稳的胶体颗粒,使所有胶体颗粒向某一方向运动,但由于不同胶体颗粒存在速度快慢的差异,速度快的胶体颗粒将赶上速度慢的胶体颗粒,如果两个胶体颗粒在垂直方向的球心距离小于它们的半径之和,两个胶体颗粒将会碰撞聚集而产生絮凝现象。 由于布朗运动随颗粒粒径的加大而减弱,所以高浊水的絮凝机理以同向絮凝为主。
2012年硕士研究生入学考试大纲 考试科目名称:信号与系统+数字逻辑电路考试科目代码:[803] 一、考试要求: 要求考生全面、系统地掌握《信号与系统》和《数字电路》课程的基本概念、原理、方法与应用,具有较强的分析、设计和解决问题的能力。 二、考试内容: (一)《信号与系统》部分 1)信号分析的理论基础 a:信号的基本概念和典型信号 b:信号的时域分解与变换,卷积 2)傅里叶变换 a:傅里叶级数,傅里叶变换,傅里叶变换的性质 b:周期信号的傅里叶变换,抽样信号的频谱 3)拉普拉斯变换 a:拉普拉斯变换与反变换 b:拉普拉斯变换的性质 4)Z变换 a:Z变换及其收敛域,Z变换的性质,Z反变换, b:Z变换与拉普拉斯变换的关系 5)连续系统的时域分析 a:连续系统的经典解法 b:零输入响应,冲激响应与阶跃响应,零状态响应 6)连续系统的频域分析 a:傅里叶变换分析法 b:无失真传输条件 c:理想低通滤波器 7)连续系统的复频域分析 a:拉普拉斯变换分析法 b:系统函数,极零点分布与时域响应特性,极零点分布与系统频率特性 c:线性系统的模拟 8)离散系统的时域分析
a:离散系统的描述和模拟 b:差分方程的经典解法,零输入响应和零状态响应9)离散系统的Z域分析 a:离散系统的Z变换分析法 b:离散系统的系统函数及频率响应 10)系统的状态变量分析法 a:状态方程的建立 b:连续系统和离散系统的状态方程解法 (二) 《数字逻辑电路》部分 1)数制与编码 a:数制和编码的基本概念,不同数制之间的转换 b:二进制数的运算 2)逻辑代数基础 a:逻辑代数基本概念,逻辑函数的表示方法 b:逻辑函数的化简及实现 3)门电路 a:TTL门电路工作原理与输入输出特性 b:OC门、三态门(TS)原理与应用,MOS门电路4)组合电路 a:组合逻辑电路的分析与设计方法 b:典型中、小规模集成组合电路原理与应用 5)触发器 a:触发器基本原理与应用 b:不同触发器类型之间的转换 6)时序逻辑电路 a:时序逻辑电路的概念 b:同步时序电路的分析与设计 c:集成计数器和移位寄存器的设计与应用 d:异步时序电路的基本概念 7)算术运算电路 a:数值比较器、加法电路、乘法电路基本原理与应用8)存储器与可编程逻辑器件 a:RAM、ROM的基本原理和扩展 b:可编程逻辑器件的基本原理和应用 9)模数和数模转换
2019年哈工大哈尔滨工业大学(深圳)考研复试时间复试内容复试 流程复试资料及经验 随着考研大军不断壮大,每年毕业的研究生也越来越多,竞争也越来越大。对于准备复试的同学来说,其实还有很多小问题并不了解,例如复试考什么?复试怎么考?复试考察的是什么?复试什么时间?复试如何准备等等。今天启道小编给大家整理了复试相关内容,让大家了解复试,减少一点对于复试的未知感以及恐惧感。准备复试的小伙伴们一定要认真阅读,对你的复试很有帮助啊! 学院简介 哈尔滨工业大学(深圳)由哈工大与深圳市政府合作共建,是哈工大的一个校区,是广东省、深圳市的一所大学。哈工大(深圳)以全日制本科生与研究生教育为主、非全日制教育为辅,是首所进驻深圳招收本科生的中国九校联盟(C9)成员、国家“985工程”建设高校和“双一流”建设A类高校。哈工大(深圳)的前身是始建于2002年的哈工大深圳研究生院。 哈尔滨工业大学始建于1920年,隶属于工业和信息化部,是一所以理工为主,理、工、管、文、经、法等多学科协调发展的国家重点大学,是中国九校联盟(C9)成员,2017年入选“双一流”建设A类高校名单。在长期的办学过程中,哈工大坚持立德树人根本使命,坚持师德师风第一标准,形成了“规格严格,功夫到家”的校训,培育了精神引领、典型引路、品牌带动的思想政治工作传统,涌现出一大批全国先进典型。 复试时间
复试内容(科目) 一、全日制招生学科目录
注:上表学科代码栏内标注“*”的学科为工程硕士。 二、非全日制招生学科目录 说明: 1.此招生计划仅供参考,具体以国家批准招生计划数为准。 2.各专业只招英语考生。各专业考试科目与哈工大校本部全日制招生目录中对应专业的考试科目相同。 3.交通运输工程、外国语言文学学科须在校本部报到入学并完成第一学年的课程学习,第二学年由校本部转往深圳校区报到并进行硕士课题研究工作。 4.0830环境科学与工程专业研究生期间,50%研究生从事水污染控制研究方向,50%研究生从事大气污染控制研究方向。0830环境科学与工程、0814 土木工程:32市政工程方向和085229环境工程专业,欢迎生物科学、生物技术、生态学、化学、应用化学、化学工程与工艺、材料化学、高分子材料与工程、材料科学与工程等专业考生跨专业报考。跨专业考生初试考试科目与所报考专业一致,复试按校本部的要求进行。 5.0801力学(31固体力学、工程力学;32流体力学)考试科目同001航天学院的0801力学。
12年考研哈工大环境工程专业-污染控制微生物学考研参考书
12年考研哈工大市政环境工程学院环境工程参考书及目录 《环境化学》 内容提要《环境化学(第2版)》为普通高等教育“十一五”国家级规划教材。全书共八章,包括绪论、大气环境化学、水环境化学、土壤环境化学、生物体内污染物质的运动过程及毒性、典型污染物在环境各圈层中的转归与效应、受污染环境的修复和绿色化学的基本原理与应用。以阐述污染物在大气、水、岩石、生物各圈层环境介质中迁移转化过程所涉及的污染化学问题及其效应为主线,较全面深入地阐明基本原理、环境化学相关交叉学科的知识。为进一步贯彻可持续发展的战略思想和方针,增添了反映近年环境科学领域新发展且应用性较强的两个重要研究方向,即“受污染环境的修复”和“绿色化学”内容的介绍和讨论。《环境化学(第2版)》密切结合我国乃至全球关注的环境问题,在介绍基本和主要内容的基础上,注意适当反映本领域的最新研究成果和进展。 目录 第一章绪论 第一节环境化学 一、环境问题 二、环境化学 第二节环境污染物 一、环境污染物的类别 二、环境效应及其影响因素 三、环境污染物在环境各圈的迁移转化过程简介第二章大气环境化学 第一节大气的组成及其主要污染物 一、大气的主要成分 二、大气层的结构 三、大气中的主要污染物 第二节大气中污染物的迁移 一、辐射逆温层 二、大气稳定度 三、大气污染数学模式 四、影响大气污染物迁移的因素 第三节大气中污染物的转化 一、自由基化学基础 二、光化学反应基础 三、大气中重要自由基的来源 四、氮氧化物的转化 五、碳氢化合物的转化 六、光化学烟雾 七、硫氧化物的转化及硫酸烟雾型污染 八、酸性降水 九、温室气体和温室效应 十、臭氧层的形成与耗损