当前位置:文档之家› 数字频率计毕业设计开题报告

数字频率计毕业设计开题报告

数字频率计毕业设计开题报告
数字频率计毕业设计开题报告

毕业设计(论文)开题报告

毕业设计开题报告范文模板

毕业设计开题报告范文模板 计算机信息管理专业毕业设计开题报告 毕业设计题目中小型制造企业ERP系统建设与管理方案设计毕业设计 类型方案设计 1. 课题研究 ERP代表了当代的先进企业管理模式与技术,并能够解决企业提高整体管理效率和市场竞争力问题,近年来ERP系统在国内外得到了广泛推广应用。ERP 是由美国Gartner Group Inc. 公司于20世纪90年代初提出的,是信息时代的现代企业向国际化发展的更高层管理模式。 2、课题研究意义 ERP系统实际应用中更重要的是应该体现其管理工具的本质。ERP系统主要宗旨是对企业所拥有的人、财、物、信息、时间和空间等综合资源进行综合平衡和优化管理,ERP软件协调企业各管理部门,ERP系统围绕市场导向开展业务活动,提高企业的核心竞争力,ERP软件从而取得最好的经济效益。所以,ERP系统首先是一个软件,同时是一个管理工具。ERP软件是IT技术与管理思想的融合体,ERP系统也就是先进的管理思想借助电脑,来达成企业的管理目标。

2. 课题研究目标: 制造业的进销存一直是其应用ERP系统的核心目的,不过随着制造业信息化的进展,传统的ERP系统已不能满足其需求,新型的可定制的、支持二次开发的,并可对接企业内部其它信息系统的ERP 解决方案才是现代制造业所需要的。越来越多的企业倾向于选择navision作为其ERP解决方案,尤其是跨国的全球型企业,navision 的本地财务化功能极大的方便了全球数据的整合。通过将产品研发与制造、核算、采购和供应商集成在一起,缩短了开发周期,极大地降低了制造业的营运成本,通过从按单设计向按单配置的转型,能够快速响应不断变化的客户设计要求,同时将服务、质保、维护和备件控制等交付后,能够与您的财务和制造系统集成在一起。 3. 课题研究方法: 调查法: (1)通过网络进行调查,收集出中小型制造企业对ERP系统的使用程度 (2)通过对相关知识的学习,研究出一套管理方案 (3)收集相关的信息资料,进行整理、总结,并完善该构思 4. 课题研究的难题及如何实现: 本课题的难题主要在于如何收集国内中小型企业对于ERP系统的使用程度以及企业对于ERP系统的偏好程度,只有收集了足够的信息资料,我们才能对此分析、总结并完善出一套ERP系统的管理方案。为此我将主要通过采取网络调查和实地访问的方式来收集信

单片机课程设计报告——智能数字频率计汇总

单片机原理课程设计报告题目:智能数字频率计设计 专业:信息工程 班级:信息111 学号:*** 姓名:*** 指导教师:*** 北京工商大学计算机与信息工程学院

1、设计目的 (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用: 包括放大器、滤波器、比较器、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 2、设计要求 (1)基本要求 设计指标: 1.频率测量:0~250KHz; 2.周期测量:4mS~10S; 3.闸门时间:0.1S,1S; 4.测量分辨率:5位/0.1S,6位/1S; 5.用图形液晶显示状态、单位等。 充分利用单片机软、硬件资源,在其控制和管理下,完成数据的采集、处理和显示等工作,实现频率、周期的等精度测量方案。在方案设计中,要充分估计各种误差的影响,以获得较高的测量精度。 (2)扩展要求 用语音装置来实现频率、周期报数。 (3)误差测试 调试无误后,可用数字示波器与其进行比对,记录测量结果,进行误差分析。 (4)实际完成的要求及效果 1.测量范围:0.1Hz~4MHz,周期、频率测量可调; 2.闸门时间:0.05s~10s可调; 3.测量分辨率:5位/0.01S,6位/0.1S; 4.用图形液晶显示状态、单位(Hz/KHz/MHz)等。 3、硬件电路设计 (1)总体设计思路

本次设计的智能数字频率计可测量矩形波、锯齿波、三角波、方波等信号的频率。系统共设计包括五大模块: 主芯片控制模块、整形模块、分频模块、档位选择模块、和显示模块。设计的总的思想是以AT89S52单片机为核心,将被测信号送到以LM324N为核心的过零比较器,被测信号转化为方波信号,然后方波经过由74LS161构成的分频模块进行分频,再由74LS153构成的四选一选择电路控制档位,各部分的控制信号以及频率的测量主要由单片机计数及控制,最终将测得的信号频率经LCD1602显示。 各模块作用如下: 1.主芯片控制模块: 单片机AT89S52 内部具有2个16位定时/计数器T0、T1,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出时中断要求的功能。利用单片机的计数器和定时器的功能对被测信号进行计数。以AT89S52 单片机为控制核心,来完成对各种被测信号的精确计数、显示以及对分频比的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。 2.整形模块:整形电路是将一些不是方波的待测信号转化成方波信号,便于测量。本设计使用运放器LM324连接成过零比较器作为整形电路。 3.分频模块: 考虑单片机利用晶振计数,使用11.0592MHz 时钟时,最大计数速率将近500 kHz,因此需要外部分频。分频电路用于扩展单片机频率测量范围,并实现单片机频率测量使用统一信号,可使单片机测频更易于实现,而且也降低了系统的测频误差。本设计使用的分频芯片是74LS161实现4分频及16分频。 4.档位选择模块:控制74LS161不分频、4分频或者 16分频,控制芯片是74LS153。 5.显示模块:编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示,本设计选用LCD1602。 (2)测频基本设计原理 所谓“频率”,就是周期性信号在单位时间(1s)内变化 的次数。若在一定时间间隔T内测得这个周期性信号的重复变 化次数N,则其频率可表示为f=N/T(右图3-1所示)。其中脉 冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等 。利用单片机的定时/计数T0、T1的定时、计数 于被测频率f x 功能产生周期为1s的时间脉冲信号,则门控电路的输出信号持图3-1

简易数字频率计

4.2.3简易数字频率计电路设计 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 一、设计目的 1. 了解数字频率计测量频率与测量周期的基本原理; 2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。 二、设计任务与要求 要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为: 1.测量范围:1HZ—9.999KHZ,闸门时间1s; 10 HZ—99.99KHZ,闸门时间0.1s; 100 HZ—999.9KHZ,闸门时间10ms; 1 KHZ—9999KHZ,闸门时间1ms; 2.显示方式:四位十进制数 3. 当被测信号的频率超出测量范围时,报警. 三、数字频率计基本原理及电路设计 所谓频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构如图4-2-6:

图4-2-6数字频率计原理图 从原理图可知,被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.放大整形电路 放大整形电路可以采用晶体管 3DGl00和74LS00,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 2.时基电路 时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。 (1)555多谐振荡电路产生时基脉冲 采用555产生1000HZ振荡脉冲的参考电路如图4-2-7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。 (2)分频电路 由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555振荡器产生1000HZ,周期为1ms的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用74LS90分别经过一级、二级、三级10分频得到。 图4-2-7 555多谐振荡电路 3. 逻辑控制电路 在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。脉冲信号Ⅳ和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得一负脉冲,其波形关系正好满足Ⅳ和V的要求。手动复位开关S按下时,计数器清“ 0 ”。参考电路如图4-2-8 图4-2-8数字频率计逻辑控制电路 4.锁存器 锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能.当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

数字逻辑数字频率计的设计课程设计报告

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:数字频率计的设计 系别:网络与通信工程系 专业:网络工程(无线传感器网络方向)组别:第七组 起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺 计算机与信息工程学院二○一二年制

课程设计任务书

目录 1绪论 (1) 1.1设计背景 (1) 1.2主要工作和方法 (1) 1.3本文结构 (1) 2相关知识 (1) 2.1数字频率计概念...................................................................................................................... .. (1) 2.2数字频率计组成 (1) 3系统设计 (2) 4系统实现 (2) 4.1计数译码显示电路 (2) 4.2控制电路 (3) 5系统测试与数据分析 (5) 6课程设计总结与体会 (8) 6.1设计总结 (8) 6.2设计体会 (8) 结束语 (9) 参考文献 (9) 附录 (10) 致谢 (12)

1绪论 1.1设计背景 数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。 随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。 1.2主要工作和方法 设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。 1.3本文结构 本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。 2相关知识 2.1数字频率计介绍 2.1.1数字频率计概念 数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。 2.1.2数字频率计组成 数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

毕业论文设计开题报告格式范文

毕业论文设计开题报告格式范文 毕业设计开题报告范文 (1) 1. 毕业设计开题报告范文 (1) 之课题名称: 钢筋混凝土多层、多跨框架软件开发 2. 毕业设计开题报告范文 (1) 之项目研究背景: 所要编写的结构程序是混凝土的框架结构的设计,建筑指各种房屋及其附属的构筑物。建筑结构是在建筑中,由若干构件,即组成结构的单元如梁、板、柱等,连接而构成的能承受作用 (或称荷载 )的平面或空间体系。 编写算例使用建设部最新出台的《混凝土结构设计规范》 gb50010-xx, 该规范与原混凝土结构设计规范 gbj10-89 相比,新增内容约占 15%,有重大修订的内容约占 35%,保持和基本保持原规范内容的部分约占 50%,规范全面总结了原规范发布实施以来的实践经验,借鉴了国外先进标准技术。 3. 毕业设计开题报告范文 (1) 之项目研究意义: 建筑中,结构是为建筑物提供安全可靠、经久耐用、节能节材、满足建筑功能的一个重要组成部分,它与建筑材料、制品、施工的工业化水平密切相关,对发展新技术。新材料,提高机械化、自动化水平有着重要的促进作用。 由于结构计算牵扯的数学公式较多,并且所涉及的规范和标准很零碎。并且计算量非常之大,近年来,随着经济进一步发展,城市人口集中、用地紧张以及商业竞争的激烈化,更加剧了房屋设计的复杂性,许多多高层建筑不断的被建造。这些建筑无论从时间上还是从劳动量上,都客观的需要计算机程序的辅助设计。这样,结构软件开发就显得尤为重要。 一栋建筑的结构设计是否合理,主要取决于结构体系、结构布置、构件的截面尺寸、材料强度等级以及主要机构构造是否合理。这些问题已经正确解决,结构计算、施工图的绘制、则是另令人辛苦的具体程序设计工作了,因此原来在学校使用的手算方法,将被运用到具体的程序代码中去,精力就不仅集中在怎样利用所学的结构知识

基于51单片机的数字频率计_毕业设计

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

数字频率计开题报告

本科学生毕业设计(论文) 开题报告 系(分院):************** 课题名称:数字频率计的设计 专业:电子信息工程 班级:电信******* 学生姓名:************ 指导教师:李宇春 日期:2012年12月23日

一、毕业设计(论文)选题的目的和意义: 1:毕业设计(论文)题目名称;数字频率计的设计 2:毕业设计(论文)有关的研究方向的历史、现状和发展情况分析 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是电子系统的心脏,是决定电子系统性能的关键设备,随着现代通信、卫星、雷达和电子对抗等系统的发展对数字频率计提出了越来越高的要求。 数字频率计的主要实现方法有直接式、锁相式、直接数字式三种。 直接式的优点是速度快、相位噪声低,但结构复杂、杂散多,一般只应用在地面雷达中。 锁相式的优点是相位同步自动控制,制作频率高,功耗低,容易实现系列化、小型化、模块化和工程化。 直接数字式的优点电路稳定、精度高、容易实现系列化、小型化、模块化和工程化。 随着单片锁相式数字频率计的发展,锁相式和数字式容易实现系列化、小型化、模块化和工程化,性能也越来越好,已逐步成为两种最为典型,用处最为广泛的数字频率计。 数字频率计可用纯硬件实现法(可选的器件有通用的SSI/MSI/LSI集成电路、专用集成电路、可编程逻辑器件等);也可用纯软件实现法(可选的平台有PC机、单片机、 DSP器件等);一般考虑用软硬件相结合的实现法,但是实现的频率精度可能没有纯硬件实现的精确高,所以考虑用纯硬件来实现。 3:前人在本选题研究领域中的工作成果简述 基于VHDL语言设计数字频率计:数字频率计是数字电路中的一个典型应用,随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA工具作为开发手段,运用VHDL语言。将使整个系统大大简化。提高整体的性能和可靠性。用VHDL在CPLD 器件上实现一种8 b数字频率计测频系统,能够用十进制数码显示被测信号的频率,不仅能够测量正弦波、方波和三角波等信号的频率,而且还能对其他多种物理量进行测量。具有体积小、可靠性高、功耗低的特点。 基于高速串行BCD码除法的数字频率计:采用VDHL编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分以外,其余全部在一片FPGA 芯片上实现,整个系统非常精简,而且具有灵活的现场可更改性。在不更改硬件电路的基础上,对系统进行各种改进还可以进一步提高系统的性能。该数字频率计具有高速、精确、可靠、抗干扰性强和现场可编程等优点。 4:本毕业设计(论文)研究的主要内容和重点 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,

简易数字频率计课程设计报告书

一、课题名称与技术要求 <1>名称:简易数字频率计 <2>主要技术指标和要求: 1. 被测信号的频率围100HZ~100KH 2. 输入信号为正弦信号或方波信号 3. 四位数码管显示所测频率,并用发光二极管表示单位 4. 具有超量程报警功能 二、摘要 以门电路,触发器和计数器为核心,由信号输入、放大整形、闸门电路、计数、数据处理和数据显示等功能模块组成。放大整型电路:对被测信号进行预处理;闸门电路:由与门电路通过控制开门关门,攫取单位时间进入计数器的脉冲个数;时基信号:周期性产生一秒高电平信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。 关键字:比较器,闸门电路,计数器,锁存器,逻辑控制电路 三、方案论证与选择 <1>频率测量原理与方法 对周期信号的测量方法,常用的有下述几种方法。 1、测频法(M法) 对频率为f的周期信号,测频法的实现方法,是用以标准闸门信号对被测信号的重复周期数进行计数,当计数结果为N时,其频率为:f1=N1/TG。TG为标准闸门宽度,N1是计数器计出的脉冲个数,

设在TG期间,计数器的精确计数值为N,根据计数器的技术特性可知,N1的绝对误差是△N1=N ±1,N1的相对误差为&N1=(N1-N)/N=(N±1-N)/N=±1/N,由N1的相对误差可知,N(或N1)的数值愈大,相对误差愈小,成反比关系。因此,在f已确定的条件下,为减小N1的相对误差,可通过增大TG的方法来降低测量误差。但是,增大TG会使频率测量的响应时间长。当TG为确定值时(通常取TG=1s),则有f=N,固有f1的相对误差:&f1=(f1-f)/f=(f±1-f)/f=±1/f 由上式可知,f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此,M法适合于对高频信号的测量,频率越高,测量精度也越高。 测频法原理图 2、测周法(T法) 首先把被测信号通过二分频,获得一个高电频时间和低电平时间都是一个信号周期T的方波信号;然后用一个已知周期的高频方波信号作为计数脉冲,在一个信号周期T的时间对此高频信号进行计数。若在T时间的计数值为N2,则有 T2=N2*Tosc f2=1/T=1/(N2* Tosc)= fosc/N2 N2的绝对误差为△N=±1 N2的相对误差为&N2=(N2-N)/N=(N±1-N)/N=±1/N 从T2的相对误差可以看出,周期测量的误差与信号频率成正比,而与高频你标准计数信号的频率成反比。当fosc为常数时,被测信号频率越低,误差越小,测量精度也就越高。

毕业设计开题报告范文模板

毕业设计开题报告范文模板 毕业设计开题报告范文模板(一) 计算机信息管理专业毕业设计开题报告 学院软件学院专业计算机信息管理班级计信1232班 学生姓名唐XX学号122508XXXX指导教师王XX 毕业设计题目中小型制造企业ERP系统建设与管理方案设计毕业设计 类型方案设计 1.课题研究 ERP代表了当代的先进企业管理模式与技术,并能够解决企业提高整体管理效率和市场竞争力问题,近年来ERP系统在国内外得到了广泛推广应用。ERP是由美国GartnerGroupInc.公司于20世纪90年代初提出的,是信息时代的现代企业向国际化发展的更高层管理模式。 2、课题研究意义 ERP系统实际应用中更重要的是应该体现其"管理工具"的本质。ERP系统主要宗旨是对企业所拥有的人、财、物、信息、时间和空间等综合资源进行综合平衡和优化管理,ERP软件协调企业各管理部门,ERP系统围绕市场导向开展业务活动,提高企业的核心竞争力,ERP软件从而取得最好的经济效益。所以,ERP系统首先是一个软件,同时是一个管理工具。ERP软件是IT技术与管理思想的融合体,ERP 系统也就是先进的管理思想借助电脑,来达成企业的管理目标。 2.课题研究目标 制造业的进销存一直是其应用ERP系统的核心目的,不过随着制造业信息化的进展,传统的ERP系统已不能满足其需求,新型的可定制的、支持二次开发的,并可对接企业内部其它信息系统的ERP解决方案才是现代制造业所需要的。越来越多的企业倾向于选择navision作为其ERP解决方案,尤其是跨国的全球型企业,navision的本地财务化功能极大的方便了全球数据的整合。通过将产品研发与制

毕业设计开题报告模板

毕业设计开题报告模板 毕业设计开题报告范文模板(一) 计算机信息管理专业毕业设计开题报告 学院软件学院专业计算机信息管理班级计信1232班 学生姓名唐XX 学号 122508XXXX 指导教师王XX 毕业设计题目中小型制造企业ERP系统建设与管理方案设计毕 业设计 类型方案设计 1. 课题研究 ERP代表了当代的先进企业管理模式与技术,并能够解决企业提升整体管理效率和市场竞争力问题,近年来ERP系统在国内外得到了广 泛推广应用。ERP 是由美国Gartner Group Inc. 公司于20世纪90年代初提出的,是信息时代的现代企业向国际化发展的更高层管理模式。 2、课题研究意义 ERP系统实际应用中更重要的是应该体现其"管理工具"的本质。ERP系统主要宗旨是对企业所拥有的人、财、物、信息、时间和空间等综合资源实行综合平衡和优化管理,ERP软件协调企业各管理部门,ERP系统围绕市场导向展开业务活动,提升企业的核心竞争力,ERP软 件从而取得的经济效益。所以,ERP系统首先是一个软件,同时是一个管理工具。ERP软件是IT技术与管理思想的融合体,ERP系统也就是 先进的管理思想借助电脑,来达成企业的管理目标。 2. 课题研究目标: 制造业的进销存一直是其应用ERP系统的核心目的,不过随着制 造业信息化的进展,传统的ERP系统已不能满足其需求,新型的可定

制的、支持二次开发的,并可对接企业内部其它信息系统的ERP解决方案才是现代制造业所需要的。越来越多的企业倾向于选择navision 作为其ERP解决方案,尤其是跨国的世界型企业,navision的本地财务化功能极大的方便了世界数据的整合。通过将产品研发与制造、核算、采购和供应商集成在一起,缩短了开发周期,极大地降低了制造业的营运成本,通过从"按单设计"向"按单配置"的转型,能够快速响应持续变化的客户设计要求,同时将服务、质保、维护和备件控制等交付后,能够与您的财务和制造系统集成在一起。 3. 课题研究方法: 调查法: (1)通过网络实行调查,收集出中小型制造企业对ERP系统的使用水准 (2)通过对相关知识的学习,研究出一套管理方案 (3)收集相关的信息资料,实行整理、总结,并完善该构思 4. 课题研究的难题及如何实现: 本课题的难题主要在于如何收集国内中小型企业对于ERP系统的使用水准以及企业对于ERP系统的偏好水准,只有收集了充足的信息资料,我们才能对此分析、总结并完善出一套ERP系统的管理方案。为此我将主要通过采取网络调查和实地访问的方式来收集信息。 毕业设计开题报告范文模板(二) 营销与策划专业毕业设计开题报告 学生姓名黄XX 学号 20201007XXXX 专业及班级 12级营销与策划班 指导教师常XX 职称副教授工作单位 XX太平洋财险股份有限公司(XX支公司)

毕业设计数字频率计的设计论文

数字频率计的设计 摘要:本论文是一种直接用十进制数字来显示被测信号频率的测量装置。它不仅可以测量正弦波、方波、三角波的频率,而且还可以测量其它各种单位时间内变化的物理量的频率。该频率计是首先将被测信号变成脉冲信号,其重复频率等于被测频率。时钟电路提供标准的时间脉冲信号。闸门电路由标准秒信号进行控制,当闸门信号为高电平时,闸门开通,被测信号的脉冲通过闸门送入计数显示电路进行显示;当闸门信号为低电平时,闸门关断,计数器没有时钟脉冲输出,计数器停止计数。 关键词:频率显示闸门秒信号 引言 随着无线电技术的发展与普及,“频率”已成为广大群众所熟悉的物理量。调节收音机上的频率刻度盘可以使我们选听到自己所喜欢的电台节目;调节电视机上的微调旋钮可使电视机对准电视台的广播频率,获得图像清晰的收看效果,这些已成为人们的生活常识。 人们在日常生活、工作中更离不开计时。学校何时上、下课?工厂几时上、下班等这些都涉及到计时。频率、时间的应用,在当代高科技中显得尤为重要。例如,邮电通讯,大地测量,地震预报等等,都与频率、时间密切相关,只是其精密度和准确度比人们日常生活中的要求高得多罢了。 本次设计主要采用计数法制成一个测量范围在0~9999Hz的频率计。该频率计闸门信号的采样时间为1s,并采用4位数码管显示。它不仅可以测量正弦波、方波、三角波的频率,而且还可以测量其它各种单位时间内变化的物理量的频率。 一、数字频率计的组成 数字频率计电路主要由串联型稳压电源、整形电路、10分频电路、时钟电路、闸门形成及控制电路、计数显示电路等组成。

电路组成框图1-1如下: 待测信号整形电路10分频电路闸门形成及控制电路 串联型稳压电源时钟电路计数显示电路 电路组成框图1-1 二、设计所用集成电路简介 1.集成电路NE555概述 NE555是一种集模拟、数字于一体的中规模集成电路,它常应用于信号的产生与变化、电路的检测与控制。芯片采用双列直插式封装,有八个管脚。NE555引脚图2-1和功能如下 图2-1 引出端功能符号: TR: 置位控置制端,也称电平触发端 RD: 复位端,低电平有效 Q: 电路的输出端 CO: 电压控制端 TH: 复位控制端 DIS: 放电端 Vcc: 电源端 GND: 接地脚 2.集成电路CD4518概述 集成电路CD4518是一个双BCD码加法计数器。它有两个时钟输

数字电路课程设计开题报告1

徐州工程学院数学与物理科学学院 数电课程设计报告 课题名称:智力竞赛抢答装置 专业班级: 09应物2 学号: 20090405212 姓名:陈玉丹 指导老师:张群 设计时间: 2011 12 29

目录 序言 (1) 摘要 (2) 一、设计背景 (3) 二、总体方案设计 (3) 三、单元电路图 (5) 四、主要器件及重要元件的功能介绍 (9) 五、总电路原理图 (10) 六、系统的安装与调试 (11) 七、元器件列表 (12) 八、心得体会 (13) 九、参考文献 (13) 十、评分标准 (14)

序言 课程设计是针对某一理论课程的要求,对我们进行综合性实践训练的实践学习环节,可以培养我们运用课程中所学的理论知识与时间紧密结合,独立地解决实际问题的能力。 本课程设计介绍的是单片机制作的4路智力抢答器的设计及制作,以电路的基本理论为基础,着重介绍电路的设计。培养学生应用所学专业理论知识,进行产品的实际设计与制作的能力,缩小理论与实践的差别,并学习电子产品的整个设计、分析与制作流程。 课程设计应达到如下基本要求: (1)综合运用数字电子技术基础课程中所学的理论知识独立完成一个抢答器课题的设计。 (2)通过查阅手册和参考文献资料,培养我们独立分析和解决实际问题的能力。 (3)熟悉常用电子元器件的类型和特性,并掌握合理选用的原则。 (4)掌握电子电路的安装和调试技能。 (5)熟悉的使用各类数字电子仪器。 (6)学会撰写课程设计论文。 (7)培养严肃认真的工作作风和严谨的科学态度。 什么样的人才会在社会工作中最受欢迎呢?当然是既有丰富的理论知识,又有足够的经验即有很强的操作能力,实践动手能力。 作为当代的大学生或许缺乏的也就正是这方面的能力,我们迫切需要培养的也是这些能力。怎样培养呢?当然是放到实践中去培养,在真正的动手中去培养。 为此,我们专业特组织了这次长达三个多星期的综合课程实习,让同学们在实践中学东西,我们只有这样从各方面武装自己,不断给自己充电,才能在以后的竞争中突出自己,展现自己! 1

数字频率计设计报告

数字电子技术课程设计 数字频率计的设计 姓名:杜昌波 学院:工学院 专业:电气工程及其自动化 学号:12100 505 指导教师:刘权吴敏 2014年06月04日

目录 目录 (2) 1 设计任务与要求 (3) 1.1 基本功能 (3) 1.2 扩展功能 (3) 2 设计原理 (3) 3 电路设计 (4) 3.1 整形电路 (4) 3.2脉冲波形产生及分频电路 (6) 3.3 闸门电路 (8) 3.4 计数电路 (9) 3.5 锁存显示电路 (11) 3.6 超量程报警显示电路 (12) 3.7 单稳态触发器电路 (13) 3.8 整机电路 (14) 3.9 说明 (15) 3.10 仿真结果 (15) 4 元器件清单 (19) 5 设计体会 (21) 参考资料 (22)

数字频率计的设计(第十组) 1 设计任务与要求 1.1 基本功能 1)能够测量正弦信号,矩形信号等波形的频率; 2)测量信号的频率范围为1HZ~100KHZ,分辨率为1HZ; 3)测量结果直接用十进制数值计数,通过五个数码管显示; 4)具有自较和测量两种功能; 5)测量误差小于5%; 6)多谐振荡器采用12M晶振电路,闸门用与门实现,显示用共阳极数码管。 1.2 扩展功能 1)分成四个频段,即1~99Hz,100~1KHz,1~10KHz,10~100KHz; 2)有超量程警告功能,当测量信号频率超过所选档位的量程时,频率计发出铃声警报。 2 设计原理 脉冲信号的频率就是在单位时间(1s)里产生的脉冲个数,若在一定时间间隔tw内测得这个周期信号的重复变化次数为N,则其频率可表示为: f=N/T 数字频率计的总体框图如图1所示: 图1

毕业论文(开题报告)样式

毕业设计(论文) 题目:中国当代艺术中符号性语言的发现与运用 ————净空茶舍VI设计学生:* * 院(系):艺术学院 班级: 艺设2043班 指导教师:* * * 辅导教师: 时间:2009年1月10日至 2009年6月10日

长江大学毕业设计(论文)课题申报、审核表(2008 —2009 学年)

请写明题目来源单位;若为实验室建设,写明为哪个实验室,哪项技术改造或实验项目开发。 目录(小二号黑体)

毕业设计任务书 (Ⅰ) 开题报告(小四号黑体) (Ⅱ) 指导教师审查意见 (Ⅲ) 评阅教师评语 (Ⅳ) 答辩会议记录 (Ⅴ) 设计说明 (1) 页码用阿拉伯数字标注)。 参考文献 (4) 致谢 (5) 长江大学毕业设计(论文)任务书 学院(系)艺术学院专业艺术设计班级艺设2043班学生姓名薛兰指导教师/职称***/副教授 1.毕业设计(论文)题目:

中国当代艺术中符号性语言的发现与运用 -------净空茶舍VI设计 2. 毕业设计(论文)起止时间:2009年1 月10日~2009年6月10日3.毕业设计(论文)所需资料及原始数据(指导教师选定部分) [1] 王受之.《世界平面设计史》[M].中国青年出版社,2002 [2] 王受之.《世界现代设计史》[M].中国青年出版社,2002 [3] 张冬梅.《中国企业形象策划》[M].青岛海洋大学出版社,1997 [4] 杜峰松.《设计进行时》[M].福建美术出版社, 2004 [5] 俞斌浩.《VI设计》[M].浙江人民美术出版社,2005 [6] 勒埭强.《中国平面设计》[M]. 上海文艺出版社, 2001 [7] 陈池瑜.《现代艺术学导论》[M].长江文艺出版社,1991 [8] 陈池瑜.《美术学研究》[M].长江文艺出版社,1997 [9] 徐复观.《中国艺术精神》[M].春风文艺出版社,1987 4.毕业设计(论文)应完成的主要内容 主要包括以下几点: 一、要充分认识VI作为企业的形象视觉识别系统,是企业识别中最直观、最形 象、最具个性的识别部分。 二、视觉识别的基本要素和应用要素两大部分:基本要素——企业名称、企业标 志、标准中文字体、企业标准色、企业标语、企业辅助图形、企业吉祥物以 及各部分的组合规范设计等。应用要素——将基本要素的设计运用到企业相 关联的各个领域。要求通过两大要素的规划设计,以标准化、同一化、系统 化的表象形式,塑造独特的企业形象,突出企业鲜明的个性特征。 三、将设计过程中的创作体会上升到理论高度进行全面阐述。 5.毕业设计(论文)的目标及具体要求 第一阶段:2008年1月10日—2008年2月29日 目标:选题、下达任务书

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

数字频率计设计(PCB图+电路图+源程序)-课程设计

数字频率计设计(PCB图+电路图+源程序)-课程设计数字频率计设计开题报告 选题意义及国内外发展状况 本课题主要研究如何用单片机来设计数字频率计。因为在电子技术中,频率的测 量十分重要,这就要求频率计要不断的提高其测量的精度和速度。在科技以日新 月异的速度向前发展,经济全球一体化的社会中,简洁、高效、经济成为人们办 事的一大宗旨。在电子技术中这一点表现的尤为突出,人们在设计电路时, 都趋 向于用尽可能少的硬件来实现, 并且尽力把以前由硬件实现的功能部分, 通过软 件来解决。因为软件实现比硬件实现具有易修改的优点, 如简单地修改几行源代码就比在印制电路板上改变几条连线要容易得多, 故基于微处理器的电路往往比传统的电路设计具有更大的灵活性。 单片机就属于这一类设计电路,单片机因其功能独特和廉价已在全球有数???千 种成功的范例, 在国内也开发出了充电器、空调控制器、电子定时器、汽车防盗 器、卫星接收机以及各种智能仪表等实用产品。频率计也是单片机的一种很重要

的应用, 价格低廉且具有实际意义。虽然使用逻辑分析仪也可以很好的测量信号 的频率等参数,但其价格太昂贵。实现测量的数字化、自动化、智能化已成为各 类仪表设计的方向,而由单片机控制的、全自动的、数字显示的频率计就符合这 一设计理念。 说到用单片机设计的频率计,这里说一下单片频率计ICM7216D。单片频率计ICM7216D是美国Intersil公司首先研制的专用测频大规模集成芯片。它是标准的28引脚的双列直插式集成电路,采用单一的+5V稳压电源工作。它内含高频振荡器、10进制计数器、7段译码器、位多路复用器、能够直接驱动LED显示器的8段段码驱动器、8位位码驱动器。其基本的测频范围为DC至10MHz,若加预置的分频电路,则上限频率可达40MHz或100MHz,单片频率计ICM7216D只要加上晶振、量程选择、LED显示器等少数器件即可构成一个DC至40MHz的微型频率计,可用于频率测量、机械转速测量等方面的应用。还有,PTS2600是英国研制的一款微波频率计,该频率计可以测量频率高达26GHz的信号,而价格才只有几万元,可谓是物美价廉。PTS2600虽然是一个低价格的微波频率计,但它能在四个波段有很好的灵敏度测量40Hz到20GHz的频率。也可以用它来测量高达26GHz的频率,只是灵敏度稍稍低了一些。日常工作中,用它来测量 VF/VHF/UHF频段的频率,也十分方便和准确。PTS2600使用一个12位数字的LCD 液晶显示屏来显示所测得的频率、闸口时间(分辨率相关)、菜单功能以及频率表的测量 结果。所有这些数值都是同时显示在一个屏幕上的。PTS2600的机箱采用高标准的铝质材料制成,各模块安装在下方有钢板支承的母板上。模块相对独立,维修

相关主题
文本预览
相关文档 最新文档