电子技术大作业
- 格式:doc
- 大小:115.34 KB
- 文档页数:5
电子技术与项目训练Ⅱ期末大作业要求1、选题安排根据给定题目实行自由选题,每人1题。
大作业完成流程:查阅有关文献资料→拟定电路原型→依据性能指标,进行参数计算,确定电路元器件参数→画出原理电路→仿真分析验证设计方案、调整有关电路参数→完成大作业文字报告。
2、时间安排:选题结束后,自己安排时间进行课题资料查阅、原理方案设计和参数计算,然后自行安排时间对单元单路进行软件仿真(可以利用自己的PC机或利用实验室开放时间段到实验室进行仿真实验),最后,撰写大作业总结报告并提交在规定时间交给老师。
3、成绩评定:交大作业时进行1-3分钟简单答辩,根据大作业完成情况、答辩情况及平时表现进行成绩评定。
4、大作业要求编写设计性的课程大作业总结报告是对学生写科学论文和科研总结报告的能力训练。
通过写总结报告,不仅将设计、组装、调试的内容进行全面总结,而且可以将实践内容上升到理论高度。
总结报告应包括以下内容:(1)课题名称;(2)摘要、关键词;(3)设计内容及要求;(4)系统方案设计,分析比较各种方案的优缺点,画出系统框图;(5)单元电路设计、参数计算和器件选择;(6)画出完整的电路图,并说明电路的工作原理;(7)组装调试部分:拟定电路的调试方法及调试中出现的故障、原因及排除方法;(8)总结设计电路的特点,并提出改进意见;(9)收获和体会;(10)列出参考文献(格式参考任意一本公开出版教材的参考文献格式,至少列5个);(11)附录:列出系统需要的元器件清单。
书写要求:采用A4纸单面书写,要求字迹工整、图表规范、文字表述清楚、逻辑性强,图要有图号、图名,表要有表号、表名,字数要求4千字以上(除参考文献、附录至少5页)下页附封皮《电子技术与项目训练Ⅱ》期末大作业大作业名称:专业:班级:姓名:学号:二零一四年元月一种××××××××电路的设计摘要:(对所设计电路作简要说明,至少100字)关键词:(3—5个)1.设计内容及要求(根据题目自行组织)2.系统方案设计3.单元电路设计、参数计算和器件选择4.整体电路设计及原理分析(注:做必要文字阐述,画出完整的电路图并说明电路的工作原理;)5.电路组装调试6.设计电路的特点总结及改进意见7.收获和体会参考文献:(格式参考任意一本公开出版教材的参考文献格式,至少列5个)附录:。
网络教育学院《单片机原理及应用》大作业题目:单片机电子时钟设计学习中心:奥鹏福州直属层次:专科起点本科专业:电气工程及其自动化年级: 14年03学号: ************学生姓名:**一、课题背景1、数字电子钟的发展20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。
时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。
忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。
但是,一旦重要事情,一时的耽误可能酿成大祸。
目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS 化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。
下面是单片机的主要发展趋势。
单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。
从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了。
这种软件代替硬件的控制技术也称为微控制技术,是传统控制技术的一次革命。
单片机模块中最常见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
2、数字电子钟的原理数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。
子技术》大作业电子技术基础是一门实践性很强的课程。
数字电路大作业是在学完本门课程后,对所学知识的综合性考察。
大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。
希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。
【理论设计要求】1.每3人一组,完成其中一个题目。
每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。
2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。
3.不能使用单片机实现。
4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。
【实物制作要求】1.制作出一个实用的电子电路,具体不限,能成功演示其功能。
2.一人或者两人一题。
3.写出简单的设计说明,并于网上提交。
4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。
【报告内容】1. 目录2. 设计目的及要求工作原理、系统方框图4. 各部分选定方案及电路组成、相关器件说明5. 调试过程(如果没做实物,可免)6. 设计结论7 设计心得与总结(要具体落实到小组各成员)8. 参考文献9. 附录附录一:元器件清单附录二:总体设计图附录三:仿真结果(可无)附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的)【理论设计题目】NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。
NO.2 循环彩灯电路NO.3设计一个多路抢答器,可供8名选手进行抢答;主持人可清零系统和宣布抢答开始;主持人可设定抢答时间进行定时抢答,并显示选手的编号及音响提示。
NO.4 用555芯片设计三种警笛发音电路:警车、消防车和救护车,频率和持续时间自定义,以接近实用警笛唯优。
NO.5 设计一数字时钟电路,要求24小时制,有时分校准功能。
数字电子技术课程设计报告课程设计(大作业)报告课程名称:数字电子技术设计题目:数字秒表院系:信息技术学院班级:设计者:学号:指导教师:**设计时间:2015.12.14--2015.12. 18 信息技术学院昆明学院课程设计(大作业)任务书目录一、设计目的 (1)二、设计要求和设计指标 (1)三、设计内容 (1)3.1电子秒表工作原理 (1)3.1.1总体设计 (2)3.1.2 脉冲电路设计 (2)3.1.3总清零控制电路 (6)3.1.4时间计数单元 (6)3.1.5分频电路 (8)3.1.6码驱动及显示单元 (9)3.1.7多功能数字秒表电路的组合 (10)3.2仿真结果与分析 (12)3.2.1 时钟发生器的测试 (12)3.2.2 计数、译码、显示单元的测试 (13)3.2.3 整体测试 (13)3.2.4 电子秒表准确度的测试 (14)四、本设计改进建议 (14)五、总结 (15)六、主要参考文献 (16)一、设计目的1、学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示器等单元电路的综合应用。
2、学习电子秒表的调试方法。
3、秒表由五位七段LED显示器显示,其中一位显示“min”,四位显示“s”,其中显示分辨率为0.01s,计时范围为0~9分59秒99毫秒;具有清零、启动计时、暂停计时及继续计时等功能;控制开关为两个;启动(继续)/暂停计时开关和复位开关。
二、设计要求和设计指标制作一个数字秒表,将单个数字秒表组合设计成可以同时对多人进行计时的多人数字秒表。
电子秒表的工作原理就是不断输出连续脉冲给加法计数器,而加法计数器通过译码器来显示它所记忆的脉冲周期个数。
1.时钟发生器:利用石英震荡555定时器构成的多谐振荡器做时钟源,产生脉冲。
2.记数器:对时钟信号进行记数并进位,百分之一秒和十分之一秒以及个位秒之间10进制,十位秒为六进制; 本设计采用可预置的十进制同步加法计数器74LS90构成电子秒表的计数单元。
《四位二进制数可控加减法》实验报告实验名称: 四位二进制数可控加减法姓名:学号:班级:目录一、实验方案 (3)二、设计思路................................................................................ 错误!未定义书签。
三、程序代码................................................................................ 错误!未定义书签。
四、调试问题 (6)五、心得感想 (7)一、实验方案1)基本功能实现两个四位二进制数的加减法运算,能够在led灯和数码管显示出结果。
2)清零功能利用一个微动开关,当微动开关按下时结果清零显示。
3)数码管显示将结果转换为七段显示器显示。
将运算结果输送到数码管中。
利用到人的视觉误差和短暂延时显示四位运算结果。
4)溢出问题若有溢出,则数码管显示“E”。
二、设计思路基本功能中分为连个模块,主模块用来运算加减法以及记录溢出和结果,子模块用来进行七段数码管的显示。
扩展功能中数码管显示要利用暂留现象,因此利用时钟clk来进行设计。
三、程序代码module show_sub(input [1:0]num,output reg [6:0] a_to_g );always @(*)case(num)2'b00: a_to_g=7'b1000000;2'b01: a_to_g=7'b1111001;2'b10: a_to_g=7'b1111111;2'b11: a_to_g=7'b0000110;default: a_to_g=7'b0000110;endcaseendmodulemodule show_top(input clk,clr,input wire [7:0] sw,input plus,sub,output wire [6:0] a_to_g,output reg [3:0] an,output reg [3:0] led );reg [15:0] clk_cnt;wire [1:0]s;reg [3:0] result; //运算结果reg [1:0] res;reg flag; //溢出标志wire [3:0] data1;wire [3:0] data2;assign data1=sw[7:4];assign data2=sw[3:0];assign s=clk_cnt[15:14];always @(posedge clk)beginclk_cnt=clk_cnt+1;endalways@(posedge plus or posedge sub or posedge clr)。
哈工大电大数字电子技术基础大作业
H a r b i n I n s t i t u t e o f T e c h n o l o g y
数字电子技术基础大作业
课程名称:数字电子技术基础
设计题目:血型与状态机
院系:
班级:
设计者:
学号:
哈尔滨工业大学
血型逻辑电路设计
一实验目的
1.掌握采用可编程逻辑器件实现数字电路与系统
的方法。
2.掌握采用Xilinx_ISE软件开发可编程逻辑器件
的过程。
3.学会设计血型能否输血的数字电路。
4.掌握Verilog HDL描述数字逻辑电路与系统的方
法。
二设计要求
1.采用BASYS2开发板开关,LED,数码管等制作验
证能否输血的电路。
2.采用Xilinx_ISE软件进行编程、仿真与下载设
计到BASYS2开发板。
三电路图
1.电路模块图(简化)
应用:
2.内部电路组成(简化)。
01-10 BACC CCBA BB11.线相等于12.相量复阻抗复数13.相同正交超前正交滞后14.同频率不同15.最大值频率初相16.有效值频率初相17.KCL KVL 支路电流18.电压电流电流电压19.关联20.电路电源负载中间环节江苏开放大学《电工电子技术》第二次作业答案01-10 CCDB ABAB AC11.极对数电源频率改变转差率12.直接降压13.短时小电流14.过载阻断三相电流不平衡运行15.电磁系统触电系统灭弧系统16.短路17.旋转磁场定子铁芯定子绕组机座18.相序旋转磁场电子电流相序19.铁芯线圈20.电压电流阻抗江苏开放大学《电工电子技术》第三次作业答案01-10 BAAB CCBD CB11.变大变大下降12.电容电感13.变压器变压器滤波稳压14.线性非线性线性非线性15.输入级中间级输出级偏置电路16.直接大高低强17.零点漂移温度漂移差动放大18.电流串联负反馈电流并联负反馈电压串联负反馈电压并联负反馈19.基级集电级20.特殊二级管反击穿01-10 BCAB BADA AB11.施密特触发器多协振荡器 2 单稳态触发器 112.储存数据或代码移位13.同步14.输入逻辑变量电路原来的状态15.0 116.1 017.不变 118.基本触发器同步触发器边沿触发器19.与非或非异或江苏开放大学《电工电子技术》大作业答案01-10 BABA BCBA DA11.关联12.KCL KVL 支路电流13.最大值角频初相14.相量复阻抗复数15.电压电流阻抗16.短路17.电磁系统触点系统灭弧系统18.直接降压19.特殊的二极管反向击穿20.基极集电极21.串联电压负反馈并联电压负反馈串联电流负反馈并联电流负反馈22.输入级中间级输出级偏置电路23.与非或非异或24.同步25.不变 126.基尔霍夫电流定律的内容是:在某个一瞬间流入节点的电流之和等于流出该节点的电流之和。
PCB大作业报告近些年来,电子产品已经遍布军事装备、计算机、通信设备、移动存储设备、移动通讯设备等相关领域,并在体积、集成度、功能等方面要求越来越高,消费类电子以每年数代的发展速度更新,作为电子产品的重要组成部分印制电路板也在不断地完善、更新。
中国现在已经是世界印制电路板(PCB)产量第一大国,PCB品种从单面到双面、到4-24层的多层板;从通孔导通技术到盲孔导通技术,再到HDI高密度内部互连技术,技术水平不断进步。
制板手段也是层出不穷,不同的制板工艺也根据其应用对象不同也各有优劣。
目前,印制电路板的制作主要应用于两方面,一是企业量产,二是学校教学与科研。
作为一个电子工程师设计电路是一项必备的硬功夫,所以作为一名合格的电院学生,我们必须好好学习PCB,完成老师布置的作业。
作业:根据ADL5570器件资料手册绘制原理图和PCB,绘制软件为PROTEL 99SE。
使用Protel 99SE画PCB流程如下:一新建一个设计1 打开PROTEL 99SE,新建设计数据库2 在Browse选项中选取需要存储的文件夹,然后点击OK即可建立自己的设计数据库。
二绘画原理图和PCB的设计1添加原理图库,元件封装库,原理图以及PCB2绘画原理图原理图3 列出元件对应封装4 PCB的设计PCB顶层设计图三检验DRC检测无错误四心得体会通过这次PCB大作业的锻炼,我学会了很多。
动手做之前以为很简单的,无非就是元器件多了,原理图复杂了,元器件摆放要讲究一些,虽然很多细节问题都知道了,但是还是犯了错,软件还是要多用,养成良好的习惯后,就不会再犯这样或那样的细节错误了。
最欣慰的是在元器件的摆放问题上有了深刻的见解,这一次因为元器件摆放得合理,在布线这一环节走得很顺利,基本上是自动布线,只是稍微修改了一下。
好像越是复杂的电路,就越是能发挥出自动布线的优势,在自动布线的基础上进行手动布线,效果非常好!而且有时候会因为误操作或疏忽造成所画的板子的网络关系与原理图不同,这时检察核对是很有必要的。
年国家开放大学电大数字电子技术基础大作业1数字电子技术基础大作业业课程名称:数字电子技术基础设计题目:血型与状态机院系:班级:设计者:学号:血型逻辑电路设计一实验目的1. 掌握采用可编程逻辑器件实现数字电路与系统的方法。
2. 掌握采用 Xilinx_ISE 软件开发可编程逻辑器件的过程。
3. 学会设计血型能否输血的数字电路。
4. 掌握 Verilog HDL 描述数字逻辑电路与系统的方法。
二设计要求1. 采用 BASYS2 开发板开关,LED,数码管等制作验证能否输血的电路。
2. 采用 Xilinx_ISE 软件进行编程、仿真与下载设计到BASYS2 开发板。
三电路图1. 电路模块图(简化)应用:2. 内部电路组成(简化)四编程1.源程序 module xuexing(M, N, P, Q,E,F,G,OUT,CTL,clk,bi);input M;input N;input P;input Q;input clk;output E;output[3:0] F;output[3:0] G;output[7:0] OUT; output[3:0] CTL;reg E;reg[3:0] F;reg[3:0] G;reg[7:0] OUT;reg[7:0] OUT1;reg[7:0] OUT2;reg[7:0] OUT3;reg[7:0] OUT4;reg[3:0] CTL=4"b1110; output bi;reg bi;integer clk_cnt;reg clk_400Hz;always @(posedge clk)//400Hz 扫描信号if(clk_cnt==32"d100000)beginclk_cnt <= 1"b0;clk_400Hz <= ~clk_400Hz;endelseclk_cnt <= clk_cnt + 1"b1;//位控制reg clk_1Hz;integer clk_1Hz_cnt;//1Hz 发声信号always @(posedge clk)if(clk_1Hz_cnt==32"d2*******-1) beginclk_1Hz_cnt <= 1"b0;clk_1Hz <= ~clk_1Hz;endelseclk_1Hz_cnt <= clk_1Hz_cnt + 1"b1; always@(posedge clk_400Hz)CTL <= {CTL[2:0],CTL[3]};//段控制 always @(CTL)case(CTL)4"b0111:OUT=OUT1;4"b1011:OUT=OUT2;4"b1101:OUT=OUT3;4"b1110:OUT=OUT4;default:OUT=4"hf;endcase always @(M or N or P or Q) beginE=(Pamp;Q)|(~Mamp;~N)|(~Mamp;Q)|(~Namp;P);//选择能否输血case(E)1:beginOUT1=8"b10001001;OUT2=8"b01100001;OUT3=8"b01001001;OUT4=8"b11111111;bi=clk_400Hz;end0:beginOUT1=8"b00010011;OUT2=8"b00000011;OUT3=8"b11111111;OUT4=8"b11111111;bi=clk_1Hz;endendcase end always @(M or N or P or Q) //显示输入输出血型beginif(M==1amp;amp;N==0)F=4"b1000;else if(M==0amp;amp;N==1)F=4"b0100;else if(M==1amp;amp;N==1)F=4"b0010;elseF=4"b0001;end always @(M or N or P or Q)//显示输入输出血型beginif(P==1amp;amp;Q==0)G=4"b1000;else if(P==0amp;amp;Q==1)G=4"b0100;else if(P==1amp;amp;Q==1)G=4"b0010;elseG=4"b0001;end endmodule2.管脚定义程序 NET "M" LOC=N3; NET "N" LOC=E2; NET "P" LOC=L3; NET "Q" LOC=P11; NET "E" LOC=B2; NET "OUT[7]" LOC = L14; NET "OUT[6]" LOC = H12; NET "OUT[5]" LOC = N14; NET "OUT[4]" LOC = N11; NET "OUT[3]" LOC = P12; NET "OUT[2]" LOC = L13; NET "OUT[1]" LOC = M12; NET "OUT[0]" LOC = N13; NET"CTL[3]" LOC = K14; NET "CTL[2]" LOC = M13; NET "CTL[1]" LOC = J12; NET "CTL[0]" LOC = F12; NET "clk" LOC=B8; NET "F[3]" LOC=G1; NET "F[2]" LOC=P4; NET"F[1]" LOC=N4; NET "F[0]" LOC=N5; NET "G[3]" LOC=P6; NET "G[2]" LOC=P7; NET "G[1]" LOC=M11; NET "G[0]" LOC=M5; NET "bi" LOC=B6; 五仿真图六下载设计到 2 BASYS2 开发板与实物图实物图:附:程序流程:1. 基本电路设计用 MN 表示输入血型,PQ 表示受血者血型其中 10 表示A 型,01 表示 B 型,11 表示 AB 型,00表示 O 型。
《EDA技术》课程大作业要求项目一、自选一模拟电路(如功放电路),画出仿真电路图(包含虚拟仪器),并对该电路作仿真分析。
要求:1. 仿真电路图、仿真分析过程和结果以截图的形式表达。
2. 六种仿真分析方法中,选三种(必包含参数扫描分析)对电路分析。
3. 仿真结果中包含利用虚拟仪器检测的结果。
4. 对理论分析结果与仿真分析结果要作比较分析。
项目二、设计一8S循环的交通灯控制器(以74LS160D为核心,能读秒)。
要求:1.有简单的设计思路分析。
2.设计步骤明确并得到电原理图,并作仿真分析及说明。
3.用逻辑分析仪输出波形。
4.进阶任务:设计一60S循环的交通灯控制器(本任务可选择30s或60s)大作业文本打印格式要求:1.打印文稿用A4格式排版。
2.行距、字距以美观大方为宜。
3.一级标题用4号宋体、加粗,二级标题用5号宋体、加粗,正文用5号宋体。
4.图、表要有标注、编号(小5号宋体)。
5.封面格式见附页。
上交材料:1.项目设计报告一份(纸质)2.项目设计报告电子稿3.项目设计成果电子档注:电子文档存于以姓名学号为名的文件夹统一上交。
《EDA技术》课程大作业项目名称:实用电路仿真分析设计专业班级:学号:姓名:连云港职业技术学院信息工程学院年月日内容安排参考项目一:项目名称:xxxxxxxxxxxxxxxxx项目要求:1. xxxxxxxxxxxxx2. xxxxxxxxxxxxx项目设计准备分析:1. 2. 3.-------- 项目设计步骤:1. 2. 3.--------项目仿真结果及分析:xxxxxxxxxxxx------------项目二:(最后)课程学习心得()。
哈工大航天工程与力学系《电子技术设计》大作业
专业__飞行器设计与工程__
班级 1018203班
姓名冯铮
学号_____ **********____
一:设计电路目的:
目前的计数器很多都需要首先预置初始状态,一旦初始状态不符合要求,计数器即不能工作或者出现异常,如何能够成功的设计出一款具有自校验能力的计数器,本次设计我采用的是由JK触发器所组成的异步时序电路构成的格雷计数器。
二:设计出的电路图:
DSTM1
Implementation =
三:分析电路的组成:
各个触发器的状态是异步改变的。
在分析这种异步时序线路的时候,各触发器的CP 端输入(CP1)应与J1和K1一样看作是控制函数。
因此,只要把CP1写入各触发器的特征表达式中,即可分析。
各个触发器的控制函数: J4=Y3Y2 J3=K3=1
J2=4y K2=1 J1=K1=1 并且:
CP1=CLK CP2=CP4=
1
1
1y n y +
CP3=
2
1
2y n y +
JK 触发器是在CP 脉冲的下跳沿建立次态的。
因此,当CP 脉冲出现下眺沿时,可认为CP=1.根据CP3的连接方式,当Y2触发器由“1”变成“0”时,CP3才形成下眺沿。
因此CP3=1的条件是Y2触发器的现态Y2=1,而次态21
y n +=0,可得CP3的表达式。
CP2和CP4的表达式可类似的确定,CP1就是输入脉冲信号CLK 。
当CP 作为控制函数时,JK 触发器的特征表达式:
Q
n 1
+=(Q J +Q K )CP+CP Q
各个触发器的次态表达式:
4
1
y n +=(44Y J +44y K )CP4+44CP y
=234y y y (111y n y +)+y4(11
1y n y +)
3
1
y n +=(33y J +33y K )CP3+33CP y
=3y (
21
2y n y ++y3(
2
1
2y n y +))
2
1
y n +=(22y J +22y K )CP2+22CP y
=24y y (11
1y n y +)+y2(11
1y n y +)
1
1
y n +=(11y J +11y K )CP1+11CP y
=CLK y 1+CLK y 1
由上面的式子可以看出高位触发器的次态不仅和它自身的现态有关而且还和低位触发器的次态有关。
四:电路的逻辑功能和实际作用:
由该图可以看出此时序逻辑电路确实具有自启动能力。
无论初始状态为何值,均可以进入中间的大循环圈,来达到10进制计数器的目的。
设计堪称完美。