当前位置:文档之家› 组合逻辑控制单元设计

组合逻辑控制单元设计

组合逻辑控制单元设计
组合逻辑控制单元设计

一、实验课题

实验要求:

按照题目要求用硬布线(组合逻辑)控制法设计一个简单模型机的控制单元CU(微操作信号产生电路),决定外部的端口(名称、有效电平)和内部各元件的连接,画出系统框图和逻辑图,设计仿真数据,用VHDL编程和仿真。

主要元件设计:

1.指令译码器

功能要求:3-8译码器。

2.控制单元

功能要求:假设该模型机有8条不同类型的指令。包括:算术运算、逻辑运算、移位、数据传送、访存操作、转移等。根据每条指令的功能和时序,分析其执行过程中需要在各个阶段产生的全部微操作,导出产生这些微操作控制信号的逻辑。

3.用层次结构设计的方法设计一个控制单元CU(微操作控制信号产生电路)。包括指令译码器和控制单元。

功能要求:能够正确产生8条不同指令在执行过程中(每个机器周期、每拍)发出的全部微操作。

二、逻辑设计

写该实验的逻辑设计,包括:顶层系统框图,下层各主要元件的系统框图。顶层和下层各主要元件的端口(引脚)描述:端口名称、功能、有效电平、位数等。逻辑图,必须在图中清楚地标出每个内部连接线的Signal(与VHDL 程序中的Signal一致)。根据所用的描述方式,可能还需要有:真值表/功能表/逻辑函数等。

1、3-8译码器:

系统框图:

S是工作信号,当S=1时,3-8译码器工作;当S=0时,3-8译码器不工作。A是输入信号,Y是输出信号

S A2 A1 A0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0

1 0 0 0 0 0 0 0 0 0 0 1 加法

1 0 0 1 0 0 0 0 0 0 1 0 与

1 0 1 0 0 0 0 0 0 1 0 0 或

1 0 1 1 0 0 0 0 1 0 0 0 左移

1 1 0 0 0 0 0 1 0 0 0 0 右移

1 1 0 1 0 0 1 0 0 0 0 0 数据传送

1 1 1 0 0 1 0 0 0 0 0 0 取数

1 1 1 1 1 0 0 0 0 0 0 0 无条件转移

0 ×××0 0 0 0 0 0 0 0

Y(0)<=S and (not A(2)) and (not A(1)) and (not A(0));

Y(1)<=S and (not A(2)) and (not A(1)) and A(0);

Y(2)<=S and (not A(2)) and A(1) and (not A(0));

Y(3)<=S and (not A(2)) and A(1) and A(0);

Y(4)<=S and A(2) and (not A(1)) and (not A(0));

Y(6)<=S and A(2) and A(1) and (not A(0)); Y(7)<=S and A(2) and A(1) and A(0); 2、控制单元:

设计过程:把每条指令的实现分成取指令、分析指令、执行指令三个步骤,每一步由一个机器周期实现,一条指令的实现需要三个机器周期,即M1(取指周期)、M2(分析周期)、M3(执行周期);每个机器周期由三个节拍组成,即T0、T1、T2。如下图所示:

1、加法指令:寄存器R1中的一个数与寄存器R0中的一个数相加,结果放在R1中。 即实现(R0)+(R1)→

R1

2、与指令:寄存器R1中的一个数与寄存器R0中的一个数,结果放在R1中。 即实现(R1)and (R0) → R1

3、或指令:寄存器R1中的一个数或寄存器R0中的一个数,结果放在R1中。 即实现(R1)or (R0) → R1

4、逻辑左移指令:寄存器R1中的数左移,移动位数存放在寄存器R0中,结果放在R1中。 即实现(R1))左移(0R R1

5、逻辑右移指令:寄存器R1中的数左移,移动位数存放在寄存器R0中,结果放在R1中。 即实现(R1))右移(0R R1

6、数据传送指令:寄存器R0中的数据送到寄存器R1中。即实现(R0)→ R1。

7、取数指令:取出存储器中的一个数(地址在寄存器R0中)送到寄存器R1中。即实现 ((R0))→(R1)

8、无条件转移指令:寄存器R1中的地址送到PC 中。即实现(R1)→ PC

8条指令的微操作如下表所示,以教材P122的CPU 控制图(省去了M/IO 、ADS )为例: 由于每条指令取指周期的微操作是一样的,所以可以先设计取指周期微操作的节拍安排。 取指周期:T0:PC →AB ,W/R =0

T1:DB →IR 机器周期

节拍

T 0 T 1 T 2

系统框图:

所有指令:

PC→AB = M0·T0

W/R=0 = M0·T0+M1·T1·取数

DB→IR = M0·T1

PC+1 = M0 ·T2

rs1→GR = M1 ·T0

(rs1)→ALU = M1 ·T0

+ = M1 ·T0·取数+M2·T1·(加+传送+取数+转移)

ALU→AR =M1 ·T1 ·取数

AR→AB =M1·T1 ·取数

rd→GR =M1 ·T2·(加法+与+或+左移+右移)

(rd)→ALU = M1 ·T2·(加法+与+或+左移+右移)

DB→DR = M1 ·T2·取数

DR→ALU = M2 ·T0·取数

∧= M2·T1·与

∨= M2·T1·或

←= M2·T1·左移

→=M2·T1·右移

ALU→GR = M2·T2·(加法+与+或+左移+右移+数据传送+取数) ALU→PC = M2·T2·转移

3、层次结构控制单元:

逻辑图:

三、VHDL程序

--3-8译码器

library ieee;

use ieee.std_logic_1164.all;

entity decoder38 is

port( S:in std_logic;

A:in std_logic_vector(2 downto 0);

Y:out std_logic_vector(7 downto 0)); end decoder38;

architecture behave of decoder38 is

begin

process(S)

begin

if S='1' then

Y(0)<=(not A(2)) and (not A(1)) and (not A(0));

Y(1)<=(not A(2)) and (not A(1)) and A(0);

Y(2)<=(not A(2)) and A(1) and (not A(0));

Y(3)<=(not A(2)) and A(1) and A(0);

Y(4)<=A(2) and (not A(1)) and (not A(0));

Y(5)<=A(2) and (not A(1)) and A(0);

Y(6)<=A(2) and A(1) and (not A(0));

Y(7)<=A(2) and A(1) and A(0);

else Y<="00000000";

end if;

end process;

end behave;

--控制单元

library ieee;

use ieee.std_logic_1164.all;

entity CU is

port( m:in std_logic_vector(2 downto 0);

t:in std_logic_vector(2 downto 0);

d:in std_logic_vector(7 downto 0);

q:out std_logic_vector(18 downto 0));

end CU;

architecture behave of CU is

signal iq:std_logic_vector(7 downto 0);

begin

iq(0)<=not d(7) and not d(6) and not d(5) and not d(4) and not d(3) and not d(2) and not d(1) and d(0);

iq(1)<=not d(7) and not d(6) and not d(5) and not d(4) and not d(3) and not d(2) and d(1) and not d(0);

iq(2)<=not d(7) and not d(6) and not d(5) and not d(4) and not d(3) and d(2) and not d(1) and not d(0);

iq(3)<=not d(7) and not d(6) and not d(5) and not d(4) and d(3) and not d(2) and not d(1) and not d(0);

iq(4)<=not d(7) and not d(6) and not d(5) and d(4) and not d(3) and not d(2) and not d(1) and not d(0);

iq(5)<=not d(7) and not d(6) and d(5) and not d(4) and not d(3) and not d(2) and not d(1) and not d(0);

iq(6)<=not d(7) and d(6) and not d(5) and not d(4) and not d(3) and not d(2) and not d(1) and not d(0);

iq(7)<=d(7) and not d(6) and not d(5) and not d(4) and not d(3) and not d(2) and not d(1) and not d(0);

q(0)<=not m(2) and not m(1) and m(0) and not t(2) and not t(1) and t(0);

q(1)<=(not m(2) and not m(1) and m(0) and not t(2) and not t(1) and t(0))

or (not m(2) and m(1) and not m(0) and not t(2) and t(1) and not t(0) and iq(6));

q(2)<=not m(2) and not m(1) and m(0) and not t(2) and t(1) and not t(0);

q(3)<=not m(2) and not m(1) and m(0) and t(2) and not t(1) and not t(0);

q(4)<=not m(2) and m(1) and not m(0) and not t(2) and not t(1) and t(0);

q(5)<=not m(2) and m(1) and not m(0) and not t(2) and not t(1) and t(0);

q(6)<=(not m(2) and m(1) and not m(0) and not t(2) and not t(1) and t(0) and iq(6)) or

(m(2) and not m(1) and not m(0) and not t(2) and t(1) and not t(0) and (iq(0) or iq(5) or iq(6) or iq(7)));

q(7)<=not m(2) and m(1) and not m(0) and not t(2) and t(1) and not t(0) and iq(6);

q(8)<=not m(2) and m(1) and not m(0) and not t(2) and t(1) and not t(0) and iq(6);

q(9)<=not m(2) and m(1) and not m(0) and t(2) and not t(1) and not t(0) and

(iq(0) or iq(1) or iq(2) or iq(3) or iq(4));

q(10)<=not m(2) and m(1) and not m(0) and t(2) and not t(1) and not t(0) and

(iq(0) or iq(1) or iq(2) or iq(3) or iq(4));

q(11)<=not m(2) and m(1) and not m(0) and t(2) and not t(1) and not t(0) and iq(6);

q(12)<=m(2) and not m(1) and not m(0) and not t(2) and not t(1) and t(0) and iq(6);

q(13)<=m(2) and not m(1) and not m(0) and not t(2) and t(1) and not t(0) and iq(1);

q(14)<=m(2) and not m(1) and not m(0) and not t(2) and t(1) and not t(0) and iq(2);

q(15)<=m(2) and not m(1) and not m(0) and not t(2) and t(1) and not t(0) and iq(3);

q(16)<=m(2) and not m(1) and not m(0) and not t(2) and t(1) and not t(0) and iq(4);

q(17)<=m(2) and not m(1) and not m(0) and t(2) and not t(1) and not t(0)

and (iq(0) or iq(1) or iq(2) or iq(3) or iq(4) or iq(5) or iq(6));

q(18)<=m(2) and not m(1) and not m(0) and t(2) and not t(1) and not t(0) and iq(7);

end behave;

--层次结构控制单元

library ieee;

use ieee.std_logic_1164.all;

port( S:in std_logic;

A:in std_logic_vector(2 downto 0);

M:in std_logic_vector(2 downto 0);

T:in std_logic_vector(2 downto 0);

Q:out std_logic_vector(18 downto 0)); end HCU;

architecture struct of HCU is

signal i:std_logic_vector(7 downto 0);

component decoder38

port( S:in std_logic;

A:in std_logic_vector(2 downto 0);

Y:out std_logic_vector(7 downto 0)); end component;

component CU

port( m:in std_logic_vector(2 downto 0);

t:in std_logic_vector(2 downto 0);

d:in std_logic_vector(7 downto 0);

q:out std_logic_vector(18 downto 0)); end component;

begin

G1:decoder38 port map

(S=>S,A=>A,Y=>i);

G2:CU port map

(d=>i,t=>T,m=>M,q=>Q);

end struct;

四、仿真设计

3-8译码器仿真设计:

设计数据,输出3-8译码器的译码后的8种编码。

控制成员仿真设计:

设计数据依次输出8条指令的微操作:

例如:若要输出加法指令需要的所有微操作,则需设置数据如下:

右移:

数据传送:

五、结果分析讨论3-8译码器时序仿真:

控制单元时序仿真:

层次控制单元时序仿真:加法:

与:

或:

左移:

右移:

数据传送:

取数:

无条件转移:

实验仿真结果和设计仿真数据时计算的结果吻合,通过这次实验也让我们更好的了解了微操作的概念了以及指令执行的细节之处。

组合逻辑电路的设计题目

1、在一旅游胜地,有两辆缆车可供游客上下山,请设计一个控制缆车正常运行的逻辑电路。要求:缆车A和B在同一时刻只能允许一上一下的行驶,并且必须同时把缆车的门关好后才能行使。设输入为A、B、C,输出为Y。(设缆车上行为“1”,门关上为“1”,允许行驶为“1”) (1) 列真值表;(4分) (2)写出逻辑函数式;(3分) (3)用基本门画出实现上述逻辑功能的逻辑电路图。(5分) 解:(1)列真值表:(3)逻辑电路图: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数式: 2、某同学参加三类课程考试,规定如下:文化课程(A)及格得2分,不及格得0分;专业理论课程(B)及格得3分,不及格得0分;专业技能课程(C)及格得5分,不及格得0分。若总分大于6分则可顺利过关(Y),试根据上述内容完成: (1)列出真值表; (2)写出逻辑函数表达式,并化简成最简式; (3)用与非门画出实现上述功能的逻辑电路。 (3)逻辑电路图 A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数表达式3、中等职业学校规定机电专业的学生,至少取得钳工(A)、车工(B)、电工(C)中级技能证书的任意两种,才允许毕业(Y)。试根据上述要求:(1)列出真值表;(2)写出逻辑表达式,并化成最简的与非—与非形式;(3)用与非门画出完成上述功能的逻辑电路。 解:(1(3)逻辑电路: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑表达式: 最简的与非—与非形式: 4、人的血型有A、B、AB和O型四种,假定输血规则是:相同血型者之间可输出,AB血型者可接受其他任意血型,任意血型者可接受O型血。图1是一个输血判断电路框图,其中A1A0表示供血者血型,B1B0表示受血者型,现分别用00、01、10和11表示A、B、AB和O四种血型。Y 为判断结果,Y=1表示可以输血,Y=0表示不允许输血。请写出该判断电路的真值表、最简与—或表达式,并画出用与非门组成的逻辑图。 输血判断电路框图: 解:(1)真值表:(3)逻辑图: 输入输出 A1A0B1B0Y 0000 0001 0010 0011

实验六 组合逻辑电路的设计与测试

实验六组合逻辑电路的设计与测试 1.实验目的 (1)掌握组合逻辑电路的设计方法; (2)熟悉基本门电路的使用方法。 (3)通过实验,论证所设计的组合逻辑电路的正确性。 2.实验设备与器材 1)数字逻辑电路实验箱,2)万用表,3)集成芯片74LS00二片。 3.预习要求 (1)熟悉组合逻辑电路的设计方法; (2)根据具体实验任务,进行实验电路的设计,写出设计过程,并根据给定的标准器件画出逻辑电路图,准备实验; (3)使用器件的各管脚排列及使用方法。 4.实验原理 数字电路中,就其结构和工作原理而言可分为两大类,即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门;时序逻辑电路输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本单元一般是触发器。 (1)组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。设计组合逻辑电路的一般步骤是: 1)根据逻辑要求,列出真值表; 2)从真值表中写出逻辑表达式; 3)化简逻辑表达式至最简,并选用适当的器件; 4)根据选用的器件,画出逻辑电路图。 逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本。 (2)与非门74LS00芯片介绍 与非门74LS00一块芯片内含有4个互相独立的与非门,每个与非门有二个输入端。其逻辑表达式为Y=AB,逻辑符号及引脚排列如图6-1(a)、(b)所示。 (a)逻辑符号(b)引脚排列 图6-1 74LS20逻辑符号及引脚排列 (3)异或运算的逻辑功能 当某种逻辑关系满足:输入相同输出为“0”,输入相异输出为“1”,这种逻辑关系称为“异或”逻辑关系。 (4)半加器的逻辑功能 在加法运算中,只考虑两个加数本身相加,不考虑由低位来的进位,这种加法器称为半加器。 5.实验内容 (1)用1片74LS00与非门芯片设计实现两输入变量异或运算的异或门电路 要求:设计逻辑电路,按设计电路连接后,接通电源,验证运算逻辑。输入端接逻辑开关输出插口,以提供“0”与“1”电平信号,开关向上,输出逻辑“1”,向下为逻辑“0”;电路的输出端接由LED发光二极管组成的0-1指示器的显示插口,LED亮红色为逻辑“1”,亮绿色为逻辑“0”。接线后检查无误,通电,用万用表直流电压20V档测量输入、输出的对地电压,并观察输出的LED颜色,填入表6-1。

组合逻辑电路实验设计

H a r b i n I n s t i t u t e o f T e c h n o l o g y 组合逻辑电路实验设计 血型匹配情况判断电路 一、实验题目: 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表 B、10代表AB、11代表O。) 图1 二、电路设计: 方案一: 解: 1、题目分析

根据题意,确定有4个输入变量,设为X、Y、M、N;输出变量为P。 其中,用两个逻辑变量X、Y的四中取值表示输血者的血型:00代表A型、01代表B型、10代表AB型、11代表O型。 用另外两个逻辑变量M、N的四种取值表示受血者的血型:00代表A型、01代表B型、10代表AB型、11代表O型。 逻辑输出变量P代表输血者与受血者的血型符合情况:1代表血型符合,0代表血型不符合。 题目中要求用八选一数据选择器(74LS151)及与非门(74LS00)实现电路设计。 2、列写输入与输出变量真值表: 真值表如下图所示 3、逻辑表达式: 根据真值表画出卡诺图:

卡诺图如右图所示: 用八选一数据选择器(74LS151),所以输出逻辑表达式写成最小项和的形式:设X 、Y 、M 为选择变量,X 为高位。 逻辑函数P 的与或标注型表达式: P (X ,Y ,M ,N ) X Y M N X Y M N X Y M N X Y M N X Y M N =+++++ 4、比较表达式: 与标准表达式比较得:267P Nm N m(0,1,3,5)m m =+∑++ 所以,数据选择器中EN=0,0135D D D D N ==== D 2=N ,D 4=0, D 6=D 7=1, 5、逻辑电路图:

实验二--组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的分析与设计方法。 2、加深对基本门电路使用的理解。 二、实验原理 1、组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他 功能的门电路。例如,根据与门的逻辑表达式Z= AB =得知,可以用两 个非门和一个或非门组合成一个与门,还可以组合成更复杂的逻辑关系。 2、分析组合逻辑电路的一般步骤是: 1)由逻辑图写出各输出端的逻辑表达式; 2)化简和变换各逻辑表达式; 3)列出真值表; 4) 根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。 3、设计组合逻辑电路的一般步骤与上面相反,是: 1)根据任务的要求,列出真值表; 2)用卡诺图或代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件构成电路; 4)最后,用实验来验证设计的正确性。 4、组合逻辑电路的设计举例 1)用“与非门”设计一个表决电路。当四个输入端中有三个或四个“1”时, 输出端才为“1”。 设计步骤: 根据题意,列出真值表如表2-1所示,再添入卡诺图表2-2中。 表2-1 表决电路的真值表 表2-2 表决电路的卡诺图 然后,由卡诺图得出逻辑表达式,并演化成“与非”的形式: ABD CDA BCD ABC Z+ + + = B A+

? = ? ABC? ACD BCD ABC 最后,画出用“与非门”构成的逻辑电路如图2-1所示: 图2-1 表决电路原理图 输入端接至逻辑开关(拨位开关)输出插口,输出端接逻辑电平显示端口,自拟真值表,逐次改变输入变量,验证逻辑功能。 三、实验设备与器材 1.数字逻辑电路实验箱。 2.数字逻辑电路实验箱扩展板。 3.数字万用表。 4.芯片74LS00、74LS02、74LS04、74LS10、74LS20。 四、实验内容实验步骤 1、完成组合逻辑电路的设计中的两个例子。 2、设计一个四人无弃权表决电路(多数赞成则提议通过),要求用四2输入与非门 来实现。 3、用与非门74LS00和异或门74LS86设计一可逆的4位码变换器。 要求: 1)当控制信号C=1时,它将8421码转换成为格雷码;当控制信号C=0时,它 将格雷码转换成为8421码; 2)写出设计步骤,列出码变换关系真值表并画出逻辑电路图; 3)安装电路并测试逻辑电路的功能。 五、实验预习要求 1、复习各种基本门电路的使用方法。 2、实验前,画好实验用的电路图和表格。 3、自己参考有关资料画出实验内容2、3、4中的原理图,找出实验将要使用的芯 片,以备实验时用。 六、实验报告要求 1、将实验结果填入自制的表格中,验证设计是否正确。 2、总结组合逻辑电路的分析与设计方法。

组合逻辑电路设计心得体会

组合逻辑电路设计心得体会篇一:实验一_组合逻辑电路分析与设计 实验1 组合逻辑电路分析与设计 XX/10/2 姓名:学号: 班级:15自动化2班 ? 实验内容................................................. .. (3) 二.设计过程及讨论 (4) 1.真值表................................................. .(转载于: 小龙文档网:组合逻辑电路设计心得体会)................4 2.表达式的推导................................................. .....5 3.电路图................................................. .................7 4.实验步骤................................................. .............7 5. PROTEUS软件仿真 (9)

三测试过程及结果讨论.....................................11 1.测试数据................................................. ...........11 2.分析与讨论................................................. . (13) 四思考题................................................. (16) 实验内容: 题目: 设计一个代码转换电路,输入为4位8421码输出为4位循环码(格雷码)。 实验仪器及器件: 1.数字电路实验箱,示波器 2.器件:74LS00(简化后,无需使用,见后面) 74LS86(异或门),74LS197 实验目的: ①基本熟悉数字电路实验箱和示波器的使用 ②掌握逻辑电路的设计方法,并且掌握推导逻辑表达式的方法 ③会根据逻辑表达式来设计电路 1.真值表:

最新数电组合逻辑电路设计

数电——组合逻辑电路设计 实现四位二进制无符号数乘法计算学号 姓名 专业通信工程 日期 2017.4.29

一、设计目的 设计一个乘法器,实现两个四位二进制数的乘法。两个二进制数分别是被乘数3210A A A A 和乘数3210B B B B 。被乘数和乘数这两个二进制数分别由高低电平给出。乘法运算的结果即乘积由两个数码管显示。其中显示低位的数码管是十进制的;显示高位的数码管是二进制的,每位高位片的示数都要乘以16再与低位片相加。所得的和即是被乘数和乘数的乘积。做到保持乘积、输出乘积,即认为实验成功,结束运算。 二、设计思路 将乘法运算分解为加法运算。被乘数循环相加,循环的次数是乘数。加法运算利用双四位二进制加法器74LS283实现,循环次数的控制利用计数器74LS161、数码74LS85比较器实现。运算结果的显示有数码管完成,显示数字的高位(进位信号)由计数器74LS161控制。 以54 为例。被乘数3210A A A A 是5,输入0101;乘数3210B B B B 是4,输入0100.将3210A A A A 输入到加法器的A 端,与B 端的二进制数相加,输出的和被送入74LS161的置数端(把这个计数器成为“置数器”)。当时钟来临,另一个74LS161(被称之为“计数器”)计1,“置数器”置数,返回到加法器的B 端,再与被乘数3210A A A A 相加……当循环相加到第四个时钟的时候,“计数器”计4,这个4在数码比较器74LS85上与乘数3210B B B B 比较,结果是相等,A=B 端输出1,经过反相器后变为0返回到被乘数输入电路,截断与门。至此,被乘数变为0000,即便是再循环相加,和也不变。这个和,是多次循环相加的和,就是乘积。高位显示电路较为独立,当加法器产生了进位信号,CA 端输出了一个高电平脉冲,经过非门变为下

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

组合逻辑电路的设计

\ 广州大学学生实验报告 开课学院及实验室:电子信息楼410 2013年5月20日 学院 机械与电气 工程学院 年级、专 业、班 11级电气1班姓名·学号 实验课程名 称 数字电子技术实验成绩 实验项目名称; 实验二设计性实验——组合逻辑电路的设计 指导 老师 一、实验目的 1、学习组合逻辑电路的设计方法; 2、掌握使用通用逻辑器件实现逻辑电路的一般方法。 二、实验原理 使用中、小规模集成电路来设计组合电路时最常见的逻辑电路设计方法。设计的过程通常是根据 给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成 的工作。 , 组合逻辑电路的设计工作通常可按如下步骤进行。 (1)进行逻辑抽象 (2)写出逻辑函数式 (3)选定器件的类型 (4)将逻辑函数化简或变换成适当形式 (5)根据化简或变换后的逻辑函数式画出逻辑电路的连接图 (6)工艺设计 例设计一个监视交通信号灯工作状态的逻辑电路。每一组信号灯由红、黄、绿3盏灯组成,如图 3-22所示。正常工作情况下,任何时刻必有一盏灯亮,而且只允许有一盏灯亮。而当出现其他5种 点亮状态时,电路发生故障,这是要求发出故障信号,以提醒维护人员前去修理。 { 首先进行逻辑抽象。 取红、黄、绿3盏灯的状态为输入变量,分别用R、Y、G表示,并规定灯亮时为1,不亮为0。取故 障信号为输出变量,以Z表示,并规定正常工作状态下Z=0,发生故障时Z=1。更具题意可列出表3-9 所示的逻辑真值表。 表3-9真值表 R Y G Z\ R Y G Z 000[ 1 1000 00| 1 01011 0{ 1 001101

控制器的工作原理介绍

控制器的工作原理介绍 控制器是指按照预定顺序改变主电路或控制电路的接线和改变电路中电阻值来控制电动机的启动、调速、制动和反向的主令装置。由程序计数器、指令寄存器、指令译码器、时序产生器和操作控制器组成,它是发布命令的“决策机构”,即完成协调和指挥整个计算机系统的操作。 控制器的分类有很多,比如LED控制器、微程序控制器、门禁控制器、电动汽车控制器、母联控制器、自动转换开关控制器、单芯片微控制器等。 1.LED控制器(LED controller):通过芯片处理控制LED灯电路中的各个位置的开关。控制器根据预先设定好的程序再控制驱动电路使LED阵列有规律地发光,从而显示出文字或图形。 2.微程序控制器:微程序控制器同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被广泛地应用。在计算机系统中,微程序设计技术是利用软件方法来设计硬件的一门技术。 3.门禁控制器:又称出入管理控制系统(Access Control System) ,它是在传统的门锁基础上发展而来的。门禁控制器就是系统的核心,利用现代的计算机技术和各种识别技术的结合,体现一种智能化的管理手段。 4.电动汽车控制器:电动车控制器是用来控制电动车电机的启动、运行、进退、速度、停止以及电动车的其它电子器件的核心控制器件,它就象是电动车的大脑,是电动车上重要的部件。 上述只是简单的介绍了几种控制器的名称和主要功能,控制器的种类繁多、技术不同、领域不同。 在控制器领域内,高标科技作为一家国家级的高新企业,其主打产品是电动车控制器,并且在电动车控制领域内占有很重要的地位,之前已经说到电动车控制器是用来控制电动车电机的启动、运行、进退、速度、停止以及电动车的其它电子器件的核心控制器件,它就象是电动车的大脑,是电动车上重要的部件。高标科技在这里为大家介绍一下高标控制器的基本工作原理: (一)高标科技电动车控制器的结构 电动车控制器是由周边器件和主芯片(或单片机)组成。周边器件是一些功能

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

组合逻辑电路的设计

组合逻辑电路的设计 一.实验目的 1、加深理解组合逻辑电路的工作原理。 2、掌握组合逻辑电路的设计方法。 3、掌握组合逻辑电路的功能测试方法。 二.实验器材 实验室提供的芯片:74LS00与非门、74LS86异或门,74LS54与或非门,实验室提供的实验箱。 三.实验任务及要求 1、设计要求 (1)用与非门和与或非门或者异或门设计一个半加器。 (2)用与非门和与或非门或者异或门设计一个四位奇偶位判断电路。 2、实验内容 (1)测试所用芯片的逻辑功能。 (2)组装所设计的组合逻辑电路,并验证其功能是否正确。 三.实验原理及说明 1、简述组合逻辑电路的设计方法。 (1)分析实际情况是否能用逻辑变量来表示。 (2) 确定输入、输出逻辑变量并用逻辑变量字母表示,作出逻辑规定。 (3) 根据实际情况列出逻辑真值表。 (4) 根据逻辑真值表写出逻辑表达式并化简。 (5) 画出逻辑电路图,并标明使用的集成电路和相应的引脚。 (6) 根据逻辑电路图焊接电路,调试并进一步验证逻辑关系是否与实际情况相符。 2、写出实验电路的设计过程,并画出设计电路图。 (1)半加器的设计 如果不考虑有来自低位的进位将两个1位二进制数相加。 A、B是两个加数,S是相加的和,CO是向高位的进位。 逻辑表达式 S=A’B+A’B=A⊕B CO=AB (2)设计一个四位奇偶位判断电路。 当四位数中有奇数个1时输出结果为1;否则为0。 A, B, C, D 分别为校验器的四个输入端,Y时校验器的输出端

逻辑表达式 Y=AB’C’D’+A’BC’D’+A’B’C D’+A’B’C’D+A’BCD+AB’CD+ABC’D+ABCD’ =(A⊕B)⊕(C⊕D) 四.实验结果 1、列出所设计电路的MULTISM仿真分析结果。 (1)半加器的设计,1-A被加数,2-B加数,XMMI(和数S)XMM2(进位数CO) (2)设计一个四位奇偶位判断电路。

实验一组合逻辑电路设计

电子信息工程晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个优先级区分器。该公司收到有A,B,C,三类,A,类的优先级最高,B 类次之,C类最低。到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的同时到达时,对优先级最高的先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输出高低电平代表到

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数字

组合逻辑电路实验报告

组合逻辑电路实验报告

图6-1:O型静态险象 如图6-1所示电路 其输出函数Z=A+A,在电路达到稳定时,即静态时,输出F 总是1。然而在输入A变化时(动态时)从图6-1(b)可见,在输出Z的某些瞬间会出现O,即当A经历1→0的变化时,Z出现窄脉冲,即电路存在静态O型险象。 进一步研究得知,对于任何复杂的按“与或”或“或与”函数式构成的组合电路中,只要能成为A+A或AA的形式,必然存在险象。为了消除此险象,可以增加校正项,前者的校正项为被赋值各变量的“乘积项”,后者的校正项为被赋值各变量的“和项”。 还可以用卡诺图的方法来判断组合电路是否存在静态险象,以及找出校正项来消除静态险象。 实验设备与器件 1.+5V直流电源 2.双踪示波器 3.连续脉冲源 4.逻辑电平开关 5.0-1指示器

(3)根据真值表画出逻辑函数Si、Ci的卡诺图 (4)按图6-5要求,选择与非门并接线,进行测试,将测试结果填入下表,并与上面真值表进行比较逻辑功能是否一致。 4.分析、测试用异或门、或非门和非门组成的全加器逻辑电路。 根据全加器的逻辑表达式

全加和Di =(Ai⊕Bi)⊕Di-1 进位Gi =(Ai⊕Bi)·Di-1+Ai·Bi 可知一位全加器可以用两个异或门和两个与门一个或门组成。(1)画出用上述门电路实现的全加器逻辑电路。 (2)按所画的原理图,选择器件,并在实验箱上接线。(3)进行逻辑功能测试,将结果填入自拟表格中,判断测试是否正确。 5.观察冒险现象 按图6-6接线,当B=1,C=1时,A输入矩形波(f=1MHZ 以上),用示波器观察Z输出波形。并用添加校正项方法消除险象。

组合逻辑电路的设计实验报告

中国石油大学现代远程教育 电工电子学课程实验报告 所属教学站:青岛直属学习中心 姓名:杜广志学号: 年级专业层次:网络16秋专升本学期: 实验时间:2016-11-05实验名称:组合逻辑电路的设计 小组合作:是○否●小组成员:杜广志 1、实验目的: 学习用门电路实现组合逻辑电路的设计和调试方法。 2、实验设备及材料: 仪器:实验箱 元件:74LS00 74LS10 3、实验原理: 1.概述 组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路过去状态无关。因此,组合电路的特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单的组合逻辑电路。 组合逻辑电路的输入信号和输出信号往往不止一个,其功能描述方法通常有函数表达式、真值表、卡诺图和逻辑图等几种。 组合逻辑电路的分析与设计方法,是立足于小规模集成电路分析和设计的基本方法之一。 2.组合逻辑电路的分析方法 分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。 分析的步骤: (1)逐级写出逻辑表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。 (2)化简。 (3)列出真值表。 (4)文字说明 上述四个步骤不是一成不变的。除第一步外,其它三步根据实际情况的要求而采用。 3.组合逻辑电路的设计方法 设计的任务是:由给定的功能要求,设计出相应的逻辑电路。 设计的步骤; (1)通过对给定问题的分析,获得真值表。 在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量之间的逻辑关系问题,其输出变量之间是否存在约束关系,从而获得真值表或简化

常用组合逻辑电路设计

实 验 报 告 实验日期: 学 号: 姓 名: 实验名称: 常用组合逻辑电路设计 总 分: 一、实验目的 学习常用组合逻辑电路的可中和代码编写,学习并熟悉VHDL 编程思想与调试方法,掌握LPM 元件实现逻辑设计,从而完成电路设计的仿真验证和硬件验证,记录结果。 二、实验原理 VHDL 设计采用层次化的设计方法,自上向下划分系统功能并逐层细化逻辑描述。层次关系中的没一个模块可以是VHDL 描述的实体,上层VHDL 代码中实例化出各个下层子模块。 利用VHDL 语言和LPM 元件设计这两种方法方法实现两个二位数大小比较的电路,根据A 数是否大于、小于、等于B 数,相应输出端F1、F2、F3为1,设A=A2A1,B=B2B1(A2A1、B2B1表示两位二进制数),当A2A1>B2B1时,F1为1;A2A1

port(a2,a1:in STD_LOGIC; b2,b1:in STD_LOGIC; f1,f2:buffer STD_LOGIC; f3:out STD_LOGIC); end bijiao; architecture bijiao_arch of bijiao is begin f1<=(a2 and(not b2))or(a1 and (not b1)and a2)or(a1 and (not b1)and(not b2)); f2<=((not a2)and b2)or((not a2)and(not a1)and b1)or((not a1)and b1 and b2); f3<=not(f1 or f2); end bijiao_arch; (2)波形仿真 网格大小 100ns 结束时间 2μs 功能仿真:时序仿真:输入信号00, 01,10,11 输入信号00, 01,10,11 输出信号001, 010,100 信号均为二 进制表达 输入信号00, 01,10,11

组合逻辑控制器工作原理

组合逻辑控制器组成结构及工作原理解析 按照控制信号产生的方式不同,控制器分为微程序控制器和组合逻辑控制器两类 微程序控制器是将全部控制信号存贮在控制存储器中。 优点:控制信号的逻辑设计、实现及改动都较容易。 缺点:产生控制信号所需的时间较长。 组合逻辑控制器,又称硬布线方案控制器,是用组合逻辑的门电路实现控制信号。 优点:产生控制信号所需的延迟时间少,对提高系统的运行速度有利。 缺点:控制信号的逻辑设计复杂,用门电路实现也较困难,尤其要变动一些设计更不方便。(见图) 一、组合逻辑控制器的组成与运行原理 1、组成:PC、IR、脉冲源和启停控制逻辑与微程序控制器相同,不同的是: ●微程序控制器中的控制存储器在组合逻辑控制器中变成时序控制信号形成部件,是用组合逻辑的门电路实 现的; ●微程序控制器中的下地址形成部件在组合逻辑控制器中变成节拍发生器,是由计数器线路实现的; ●增加了指令译码器,用于标识每一条不同的指令。 2、运行原理:依据执行过程中的操作码、当前指令所处的执行步骤等输入信号,用组合逻辑门电路直接、 快速地形成并送出指令当前执行步骤要求的控制信号。 二、TEC-8教学计算机的组成与设计 1、简介:TEC-8教学计算机字长8位,地址总线16位(可寻址64K内存),控制器用组合逻辑控制 器。 ●运算器是Am2901(见图) ●16个通用寄存器中,R0、R1、R2和R3作为通用寄存器,其余12个作为专用寄存器 R5,R4用作16位的PC(程序计数器) R7,R6用作16位的SP(堆栈指示器) R9,R8用作内存读写地址AR R11,R10用作指令转移或子程序的地址 2、指令系统概述 ●指令中用到的符号: DR:目的寄存器 SR: 源寄存器 OFFSET: 变址偏移量 DATA: 立即数 X: 一个bit位,可取值0或1 C、Z、V、S: 分别代表进位、结果为0、溢出和结果的符号位

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

实验一 组合逻辑电路设计

电子信息工程刘晓旭2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三.实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个邮件优先级区分器。该公司收到有A,B,C,三类邮件,A,类的优先级最高,B类次之,C类最低。邮件到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的邮件同时到达时,对优先级最高的邮件先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 表1.1 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验内容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数

实验四:组合逻辑控制器实验

实验四:组合逻辑控制器实验 一、实验目的 1、深入理解计算机控制器的功能和组成; 2、学习和掌握计算机各类典型指令的执行流程; 3、学习组合逻辑控制器的控制原理和相关技术。 二、实验说明 1、TEC-XP+十算机的指令分类 TEC-2000指令系统按指令的功能和执行步骤可划分为A B C D四组: A组:主要完成通用寄存器之间的数据传送或运算,以及少数特殊操作,在取指后一步完成。 基本指令:ADD,SUB,AND,OR,XOR,CMP,TEST,MVRR,INC,DEC,SHR,SHL,JR,JRC,JRNC,JRZ,JRNZ 扩展指令:ADC,SBB,NOT,RCL,RCR,ASR,STC,CLC,EI,DI ,JRS,JRNS, JMPR B 组:需完成一次主存读/ 写操作,或IO 读/ 写操作,在取指后二步完成。第一步向地址寄存器送入 16 位(或8位的I/O 端口)地址,第二步完成读、写操作。 基本指令:LDRR,STRR,PUSH,POP,PSHF,POPF,MVRD,IN,OUT,JMPA, RET C 组:完成两次主存读/ 写操作(间址操作),在取指令后三步完成。第一次读出的数据是操作数的地址,读出 后直接(或经过一次加法运算)将其送入地址寄存器,省掉第二次内存读/ 写操作的 地址传送步骤。CALR指令先用第2步保存PC内容到堆栈,第3步把寄存器中的子程序入口地址传送到 程序计数器PC中。 扩展指令:CALR,LDRA,STRA,LDRX,STRX D组:完成两次内存的读、写操作,在取指之后四步完成。 基本指令:CALA 扩展指令:TRET 8位指令操作码(记作“ IR15 - IR8”)的特殊含义: ①IR15 - IR14用于区分指令组,0X表示A组,10表示B组,11表示C D组; ②IR11用于区分C、D组,IR11=0为C组,IR11=1为D组; ③IR13 用于区分基本指令和扩展指令,基本指令为0,扩展指令为1。 ④IR12 用于简化控制器实现,暂定为0。 ⑤IR10 - IR8用于区分同一指令组的不同指令。 TEC-XP+勺基本指令已全部实现,扩展指令只完成了指令格式和执行流程的设计,控制信号的逻辑尚未实现,可由用户扩展实现。 2、TEC-XP+十算机的指令执行流程 TEC-2000计算机指令的执行流程由节拍发生器(即时序产生器)控制,节拍(即机器周期或CPU周期) 用T4T3T2T1T0来编码,其中,在组合逻辑控制器中T4恒为0 (T4=0)。下图为TEC-2000的指令执行流程图, 方框表示1个节拍(即机器周期),左上角为节拍的编码(用T3T2T1T0表示)。

组合逻辑电路设计心得体会

组合逻辑电路设计心得体会 篇一:实验一_组合逻辑电路分析与设计 实验1 组合逻辑电路分析与设计 20XX/10/2 姓名:学号: 班级:15自动化2班 实验内容................................................. .. (3) 二.设计过程及讨论 (4) 1.真值表................................................. .................4 2.表达式的推导................................................. .....5 3.电路图................................................. .................7 4.实验步骤................................................. .............7 5. PROTEUS软件仿真 (9)

三测试过程及结果讨论.....................................11 1.测试数据................................................. ...........11 2.分析与讨论................................................. . (13) 四思考题................................................. (16) 实验内容: 题目: 设计一个代码转换电路,输入为4位8421码输出为4位循环码(格雷码)。 实验仪器及器件: 1.数字电路实验箱,示波器 2.器件:74LS00(简化后,无需使用,见后面) 74LS86(异或门),74LS197 实验目的: ①基本熟悉数字电路实验箱和示波器的使用 ②掌握逻辑电路的设计方法,并且掌握推导逻辑表达式的方法 ③会根据逻辑表达式来设计电路 1.真值表:

组合逻辑电路的设计教案

2015年全省技工教育和职业培训 参评教案参评组别:B组 专业分类:电工电子 课程名称:电子技术基础 组合逻辑电路的设计 作者姓名:徐崇丽 单位:山东工程技师学院 通讯地址:_聊城市湖南西路8号 联系电话:0635-8426630

科目电子技术基础 授课 日期 2015.4.25 课 时 2 章节名称7-4 组合逻辑电路的设计班级鲁化电工班1401 授 课方式讲授法、启发法、练习法、演示法 作业 题数 1 作 业 拟 用 时 间 30 分钟 教学目的只有一堂让自己感动的课,才能感染你的学生 认知目标 掌握组合逻辑电路的设计步骤 能力目标 能够根据控制要求进行组合电路的设计 选 用 教 具 挂 图 1、投影仪 2、电子课件 3、教学电脑 4、黑板 重点 1、组合逻辑电路的设计步骤; 2、逻辑表达式的化简; 3、由最简表达式绘制组合逻辑电路 图 难 点 1、将控制要求转换成真值表 2、卡诺图化简表达式 教 学 回 顾 组合逻辑电路的分析步骤 说明 学生在学习了《组合逻辑电路的分析》基础上,对逻辑代数的化简、真值表、逻辑门电路等步骤都有了相应程度的理解,鉴于学生在以上环节反映出的问题,在新的课程讲解中将再次强调,借助练习帮助学生更好地掌握。

教学过程 时间分配教学内容 教学 过程 教学 方法 任务目标︵2分钟︶ 任务目标:三人表决器设计 课题引入:有一场卡拉OK比赛,学校请了三个评委,如果你是电 子设计师,怎么设计一个电路能够根据“少数服从多数”的原则让评委 对选手进行评判呢? 情境 导入 引起 注意 鼓 励 法 知识准备(约5分钟) 【例】试分析下列电路的逻辑功能。(板书步骤) 一、电路 二、表达式,化简得最简表达式 由图,可得ABC P=,P C P B P A L? + ? + ? = 化简,得C B B A L⊕ + ⊕ = 三、真值表 四、功能 “不一致”电路。 积极思考:功能电路 A B C L A B C L 0 0 0 0 1 0 0 1 0 0 1 1 1 0 1 1 0 1 0 1 1 1 0 1 0 1 1 1 1 1 1 0 老师 引导 学生 讨论 多媒 体演 示 板书 结果 讨 论 法 演 示 法 归 纳 法 & & & & ≥1 A B C L P

相关主题
文本预览
相关文档 最新文档