GW48EDA实验系统默认设置必读
- 格式:pdf
- 大小:3.36 MB
- 文档页数:40
电子设计自动化课程设计指导书主要技术:VerilogHDL;Protel;FPGA;EPLD设计内容:1、VerilogHDL●简易电子琴●数字式秒表●简易数字频率计●A/D采样控制器●任意波形发生器2、Protel●Schematic绘制●PCB绘制南通大学电子信息学院二00六年六月课程设计指导书一、目的:课程设计是教学中的一个重要环节,对学生来说是一次工程技术人员工作的锻炼,通过课程设计,学生不仅可以系统地复习、巩固本课程的基本知识,而且还可以学到如何把书本上的知识运用于解决工程实际问题的方法。
随着电子技术的迅猛发展,传统的电子设计方法设计周期长、设计成本高、安装调试复杂,尤其是大规模、较复杂的电子线路设计,已明显跟不上电子技术飞速发展的需要。
本课程设计采用电子线路计算机辅助设计手段,让学生掌握最新的数字电子线路设计和分析方法,培养学生运用先进的电子设计自动化(EDA)技术进行电子线路设计和分析的能力。
通过本课程设计,学生应达到以下要求:1、掌握电子线路的一般设计方法及步骤;2、较熟练地使用电子线路计算机辅助设计软件QuartusII和Protel;3、比较熟练地使用常用的集成电路、晶体管元器件手则;4、学会运用计算机辅助设计软件对所设计的电子线路进行分析和调试;5、学会编制设计文件、绘制较为复杂的电子线路图。
二、要求:1、设计与计算学生根据所选课题的任务、要求和条件进行总体案的设计,通过论证与选择,确定总体方案。
此后运用计算机辅助设计软件对方案中单元电路进行详细的逻辑设计(包括元器件的选用和电路参数的计算)、仿真分析,同时画出电路及仿真图。
2、安装与调试学生设计的逻辑电路经指导教师审查通过后,学生即可向实验室领取实验箱,进行编程(下载),测试是否达到设计要求,针对发现的问题进行电路修改,调整元器件,排除电路故障,并重新下载调试和测试,使之达到设计要求。
3、撰写总结报告总结报告是学生对课程设计全过程的系统总结,学生应按规定的格式编写设计说明书,说明书的主要内容有:①课题名称②设计任务和要求。
大学实验报告2018年5月21日课程名称:计算机组成与结构实验实验名称:实验一: EDA入门班级及学号:姓名:同组人:签名:指导教师:指导教师评定:一、实验目的:了解并初步掌握使用QuartusⅡ软件集成开发工具进行专用硬件电路设计的工作过程;了解并初步掌握使用硬件描述语言(VHDL)表述电路功能设计;了解并初步掌握使用时序仿真方法验证电路逻辑功能;了解并初步掌握使用GW48实验台对设计电路进行硬件下载配置和测试。
二、实验任务:1.首先利用QuartusⅡ完成“2选1多路选择器”电路的VHDL语言表达描述;2.设计时序仿真测试激励波形信号并进行电路功能的仿真测试;3.完成“2选1多路选择器”电路的硬件下载编程;4.设计硬件测试方案,配置试验台测试环境,进行硬件测试;5.实验完成,写出实验报告三、实验原理:本实验通过Quartus II软件,编程设计硬件电路功能,在一片FPGA(型号EP1C6Q240C8)可编程芯片上,制作成一块具有“二选一多路选择器”功能的专用电路器件。
测试验证设计电路功能是在GW48实验系统上进行,该实验系统通过改变连线和软件配置可支持多种电路试验。
本实验选用电路模式NO.5。
“2选1多路选择器”电路原理图及逻辑表达式如下:四、实验步骤:第一阶段:建立工程,输入设计文件该电路可用硬件描述语言VHDL表达如下:ENTITY mux21a ISPORT(a,b,s:IN BIT;y:OUT BIT);END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGIN图3.1 “2选1多路选择器”原理图和逻辑表达PROCESS(a,b,s)BEGINIF s ='0' THEN y<= a;ELSE y<=b;END IF;END PROCESS;END ARCHITECTURE one;第二阶段:编译第三阶段:时序仿真仿真解释:a,b输入端,s是控制端,y是输出端。
目录第一章VHDL文本输入设计方法1.1 编辑输入并存盘VHDL原文件 1.2 将当前设计设定为工程1.3 选择VHDL文本编译版本号和排错 1.4 时序仿真1.5硬件测试 1.6部分实验第二章全国大学生电子设计竞赛赛题练习2.1 等精度频率计设计 2.2 数字移相正弦信号发生器设计2.3 测相仪设计 2.4 逻辑分析相仪设计2.5 存储示波器设计第三章Quartus II设计正弦信号发生器3.1 顶层VHDL文件设计3.1.1 创建工程和编辑设计文件 3.1.2 创建工程3.1.3 编译前设置 3.1.4 编译及了解编译结果3.2 正弦信号数据ROM定制3.2.1 设计ROM初始化数据文件 3.2.2 定制ROM元件(DATAROM.VHD)3.2.3仿真 3.2.4 引脚锁定、下载和硬件测试 3.2.5 使用嵌入式逻辑分析仪进行实时测试3.2.6 对配置器件EPCS4/EPCS1编程 3.2.7 了解此工程的R TL电路图第四章 MATLAB/DSP Builder DSP硬件模块设计4.1 可控正弦信号发生器设计4.1.1 建立设计模型 4.1.2 Simulink模型仿真4.1.3 SignalCompiler使用方法 4.1.4 使用QuartusII实现时序仿真4.1.5 使用QuartusII硬件测试与硬件实现4.2 MA TLAB窗口使用嵌入式逻辑分析仪SignalTapII(自动设计流程)4.2.1安装SignalTapII Node模块 4.2.2系统仿真和硬件测试首先进行系统仿真4.4 DDS与数字相移信号发生器设计第五章Nios嵌入式系统开发向导5.1 Nios软硬件开发流程; 5.2 Nios软硬件开发流程5.3 SOPC整体系统生成 5.4 Nios硬件系统生成第六章模拟EDA实验及其设计软件使用向导第1节P AC _Designer使用向导第2节设计直流增益为9的放大器第3节ispPAC10/20/80简介第七章 GW48-SOPC/DSP(Cyclone器件)适配板使用说明第八章单片机与GW48-PK2实验系统接口说明第九章GWDVP-B电子设计竞赛应用板使用说明第十章现代计算机组成原理实验适配板、GWAK100A适配板使用说明第十一章 Nios软件开发第1节调试软件第2节烧写Flash 第3节从新定位复位执行程序第十二章基于直接VHDL模块的Nios自定义指令设计第十三章基于DSP Builder生成模块的Nios自定义指令设计附录GW48系列EDA/SOPC主系统使用说明第一章VHDL文本输入设计方法1.1 编辑输入并存盘VHDL原文件首先应该建立好工作库目录,以作设计工程项目的工作库。
GW48-PK2/CK实验系统说明杭州康芯电子有限公司本实验课件是针对清华大学出版社的《EDA技术与VHDL》一书的EDA 软件平台是:QuartusII FPGA 硬件平台是:EP1C3TCGW48主系统结构设置说明K X康芯科技GW48-PK2实验系统(配有GWAC3等适配板)FPGA 编程下载口,接PC 机并口DAC0832模拟波形输出口通用编程下载电路模块PS/2键盘口PS/2键盘口ByteblasterMV 口可用于对FPGA 、CPLD 和单片机编程Byteblaster II 口电路模式显示步进电机直流电机监控单片机显示单片机Cyclone FPGAEPCS1配置芯片JTAG 口AS 模式编程口ADC0809DAC0832图象显示数据ROM时钟选择区域超高速D/A超高速A/D液晶显示屏20MHz 有源晶振50MHz 有源晶振ispPAC 模拟EDA 器件VGA 显示接口RS232串行接口智能逻辑笔GW48主系统默认设置注1:在以后的实验设置说明中,除特定指出外,表示其他设置全部采用默认设置;注2:在每一个实验前必须首先确定默认设置!K X默认:只有第4拨码向下:最上的8个数码管显示允许短路帽默认向下插:不起任何作用,若向上插,则短路AIN0和AIN1。
DAC0832模拟信号输出口,接示波器ADC0809DAC0832电位器VR1当拨码开关拨时,8个数码显示器进入扫描工详细说明可参考《SOPC_EDA实验讲义》电位器VR1注意拨码开关DAC0832原理图详细说明可参考《SOPC_EDA实验讲义》K X康芯科技ByteblasterMV 编程口PS/2鼠标接口短路帽插上方:选择ByteblasterMV下载允许开关向上拨电路模式选择5GW48系统左侧短路帽插上方:选择I/O 电压3.3VByteblaster II 编程口短路帽插下方:选择步进电机禁止短路帽插下方:选择直流电机禁止短路帽插下方:选择直流电机转速计数禁止+/-12V电源入口K X步进电机主系统5V 工作电源保险丝+/-12V 开关默认向下:关闭PS/2键盘接口直流电机直流电机转速计数红外管扬声器步进电机引脚所定说明两电机接口原理图详细说明可参考《SOPC_EDA实验讲义》附图18是实验系统上的两个电机的引脚图,是以标准引脚方式标注的,具体引脚要查附录第3节表。
实验报告的撰写格式及样本library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity CNT16 isport( CLK,RST,EN: in std_logic;CQ: OUT std_logic_vector(3 downto 0); COUT:OUT std_logic);end CNT16;architecture behav of CNT16 isbeginprocess(CLK,RST,EN)VARIABLE CQI:std_logic_vector(3 downto 0); beginif RST='1' then CQI:=(others=>'0');elsif CLK'event and CLK='1' thenif EN='1'thenif CQI<15 THEN CQI:=CQI+1;else CQI:=(others=>'0');end if;end if;end if;if CQI=15 THEN COUT<='1';else COUT<='0';end if;CQ<=CQI;end process;end architecture behav;实验报告的格式:实验名称一、实验目的二、实验内容三、实验条件(1)、开发软件Max+Plus II或者Quartus II(2)、实验设备 GW-48系列EDA实验开发系统(3)、所用芯片Altera公司ACEX1K系列的EP1K30TC144-3芯片四、实验设计(1)、系统的原理框图(2)、原理图/VHDL源程序(3)、波形及仿真文件(4)、管脚锁定文件(管脚锁定情况,在rpt 文件里可以看到)五、实验结果及总结(1)、系统仿真情况(2)、硬件验证情况(3)、实验过程中出现的问题及解决的办法注意:统一用五号宋体,行间距为1.5倍。
电子与信息工程学院EDA课程设计与实现报告(2011 —2012 学年第一学期)班级:____________学号:_________________姓名:_______________指导教师: ____2011 年9 月测试代码及仿真图1.输入密码打开电子锁res <='0','1' after 10 ns,'0' after 20 ns;user <='0';admin <='0';clear <='0';chgcode <='0';enter <='0','1' after 180 ns,'0' after 190 ns,'1' after 250 ns,'0' after 260 ns;key1 <='0','1' after 100 ns,'0' after 110 ns,'1' after 120 ns,'0' after 130 ns,'1' after 140 ns,'0' after 150 ns,'1' after 160 ns,'0' after 170 ns;key2 <='0';仿真结果为:3.clear 清除键测试代码res <='0','1' after 10 ns,'0' after 20 ns;user <='0';admin <='0';chgcode <='0';clear <='0','1' after 140 ns,'0' after 150 ns;enter <='0','1' after 220 ns,'0' after 230 ns;key1 <='0','1' after 100 ns,'0' after 110 ns,'1' after 160 ns,'0' after 170 ns,'1' after 180 ns,'0' after 190 ns,'1' after 200 ns,'0' after 210 ns;key2 <='0','1' after 120 ns,'0' after 130 ns;4.管理员修改用户密码,新密码为1010res <='0','1' after 10 ns,'0' after 20 ns;user <='0','1' after 240 ns,'0' after 250 ns;admin <='0','1' after 100 ns,'0' after 110 ns;clear <='0';chgcode <='0','1' after 220 ns,'0' after 230 ns;enter <='0','1' after 200 ns,'0' after 210 ns,'1' after 340 ns,'0' after 350 ns,'1' after 440 ns,'0' after 450 ns,'1' after 540 ns,'0' after 550 ns,'1' after 630 ns,'0' after 640 ns;key1 <='0','1' after 260 ns,'0' after 270 ns,'1' after 280 ns,'0' after 290 ns,'1' after 300 ns,'0' after 310 ns,'1' after 320 ns,'0' after 330 ns,'1' after 360 ns,'0' after 370 ns,'1' after 400 ns,'0' after 410 ns,'1' after 460 ns,'0' after 470 ns,'1' after 500 ns,'0' after 510 ns,'1' after 560 ns,'0' after 570 ns,'1' after 600 ns,'0' after 610 ns;key2 <='0','1' after 120 ns,'0' after 130 ns,'1' after 140 ns,'0' after 150 ns,'1' after 160 ns,'0' after 170 ns,'1' after 180 ns,'0' after 190 ns,'1' after 380 ns,'0' after 390 ns,'1' after 420 ns,'0' after 430 ns,'1' after 480 ns,'0' after 490 ns,'1' after 520 ns,'0' after 530 ns,'1' after 580 ns,'0' after 590 ns,'1' after 620 ns,'0' after 630 ns;仿真结果为:'1' after 460 ns,'0' after 470 ns,'1' after 480 ns,'0' after 490 ns,'1' after 500 ns,'0' after 510 ns; 仿真结果为:。
附录A GW48EDA系统使用说明A.1 概述本书中的大部分示例和实验题是在杭州康芯电子有限公司GW48EDA系统上进行硬件验证。
本节先简要介绍一下该系统的特点和使用注意事项。
1)该系统具有以下特点:①该系统设有通用系统编程下载ASIC器件。
可对Altera 、Lattice、Xilinx等PLD供应商的各种isp编程下载方式或现场配置的CPLD/FPGA器件进行实验或开发。
②混合工作电压源。
系统不必通过切换即可为CPLD/FPGA目标器件提供5V、3.3V、2.5V、1.8V和1.5V工作电源。
③GW48EDA系统的实验电路结构是可控的。
即可通过控制接口键,选择12种模式,使之改变连接方式以适应不同的实验需要。
因而,从物理结构上看,实验板的电路结构是固定的,但其内部的信息流在主控器的控制下,电路结构将发生变化---重配置。
这种“多任务重配置”的设计方案可以适应更多的实验与开发项目;适应更多的PLD公司的器件;适应更多的不同封装的FPGA和CPLD器件。
2)GW48EDA系统使用注意事项:①闲置不用GW48EDA系统时,必须关闭电源,拔下电源插头②在实验中,当选中某种模式后,要按一下右侧复位键,使系统进入该结构模式工作。
③换目标芯片时要特别注意,不要插反或插错,也不要带电插拔,确信插对后才能开电源。
其它接口都可带电插拔。
请特别注意,尽可能不要随意插拔适配板,及实验系统上的其他芯片。
④并行口工作模式设置在“EPP”模式!⑤跳线座“SPS”默认向下短路(PIO48);右侧开关默认拨向“TO MCU”。
⑥对于GW48-PK2系统,左下角拨码开关除第4档“DS8使能”向下拨(8数码管显示)外,其余皆默认向上。
A.2实验电路结构图1)实验电路信号资源符号图说明附图A-1列出了GW48EDA系统中所提供的六种信号资源,以下对实验电路结构图中出现的信号资源符号功能作出一些说明:附图A-1 实验电路信号资源符号图(1)附图A-1(a)是16进制7段全译码器,它有7位输出,分别接7段数码管的7个显示输入端:a、b、c、d、e、f和g;它的输入端为D、C、B、A,D为最高位,A为最低位。
INSTALLATION, CONNECTION AND SETTINGS WALL MOUNTED CONTROL EDA649, EDB649, ECA644, ECA647 FOR USE IN A MODBUSRTU SERIAL NETWORKW arningsThis instruction manual forms an integral part of the de-vice and therefore must be carefully preserved and must ALWAYS travel with it, even if you transfer the device to another owner or relocate it to other premises.If the manual gets damaged or lost, download a copy from the website.For a rapid and right assembly of the components follow carefully the sequences described in the various sections.All the operations must be performed by qualified person-nel using the required Personal Protective equipment.This document is restricted in use to the terms of the law and may not be copied or transferred to third parties with-out the express authorization of the manufacturer.E lectric connectionsP reliminary warningsBefore each intervention:disconnect the device from the power mains by turning the system master switch to "OFF"• wait for the components to cool down in order to avoid any burns Make sure that there is no voltage before operating.Any technical intervention before disconnecting the unit from the power supply is forbidden.E lectrical connections ECA644 - ECA647For connection of the electronic board to a "Modicon Mod-Bus" RTU serial communication network:– follow the indication on the connection diagram– connect to the ModBus connector with serigraphy on the board – connect respecting the indication "A" and "B"For all other connections, refer to the diagrams and in-structions in the installation manual.E lectrical connections EDA649 - EDB649 with on-board Printed circuit board ESE645+A B-A A B B-A For connection of the electronic board to a "Modicon Mod-Bus" RTU serial communication network:– connect to the terminal block on the wall control unit For all other connections, refer to the diagrams and in-structions in the installation manual.The choice of materials and the routing of the connection are fundamental for correct operation.Use a bipolar shielded cable suitable for the RS485 serial connection with a minimum section of 0,35 mm².The connection can be maximum of 500 m in total.A maximum of 50 units can be connected to each wallpanel.Keeping the bipolar cable separate from power supply cables.Chase out the wall in order to minimize the length of the leads.Complete the line with the 120 Ω resistance.It is forbidden make "star" connections.2CP+A B-A A B B-S erial Port FeaturesP rotocolThe serial communication protocol is “Modicon Modbus” in RTU mode with the following settings:• baudrate=9600• data bits=8• parity=none• stop bit=1The implemented functions are:• “03” and “06” respectively for the reading and writing of a single register• the main adjustment parameters (R/W), the acquired tem-perature and the operating status are made availableN etwork accessible parameters C onfiguration registersThe table below shows the addresses of the control parame-ters resident on the INN-FR-B32 eeSe645 - eSe648 board and the eCA644, eCA647, eDA649 e eDB649 wall-mounted con-trol panel.In the typ column, it is specified if these registers are read only (R) or writable (R/W). Please take into account the multi-plication factor mlt during reading of the parameters.* Only for INN-FR-B32 boardNote 1: The device address can be set individually on various devices by writing it on register 200 or set manually via the keyboard of the ECA644 - ECA647 e EDA649 - EDB649 kits (see paragraph "Device address setting" 6).Note 2: Absolute setpoint value from 5 to 40. For the setpoint, write the value within the selected scale.S tatus and configuration flag registers:You can set the mode and view the alarms and status of the controller via the relevant flags of some registers.– PRG: 000=Sutomatic; 001=Silent; 010=Night; 011=Maximum– LOCK: 0= Keyboard enabled; 1= Keyboard disabled locally– Stby: 0=Normal operation; 1=Stand-by mode– 8-15: System Flags reserved, do not change the status– Mod. Risc./Mod. Rafr: Active mode;– F.V. H2: Fan stop water not suitable on H2;– F.V. H4: Fan stop water not suitable on H4;– B.A.: Stop for unsuitable water (machine in standby for 45 minutes)– Antig.: Device in antifreeze mode– Alrm: At least one alarm present– Test: Instrument in test mode for testing– Stby: Device in standby– Com err: Timeout master (vers. Centralised B32) of 300sec– H2 asnt: on board with H2 probe, the probe is absent on start up– H4 asnt: on board with H4 probe, the probe is absent on start up– Com.: “Blind” version communication error– AIR: AIR probe– H4: H4 probe– Acq. Dan.: Block for harmful water– H2: H2 probe– H4 n. id: Coil hot water temperature not suitable (version 2 and 4 pipes)– Hi Res: High temperature resistance– Mot.: Motor fault– GRID: GRID contact open– H2 n. id: Main coil water temperature not suitable (version 2 and 4 pipes)– Filtro: Maintenance required (dirty filter)– 2 AIR M4 (only for M4 control panel): Communication error with fancoil with remote air probe or presence of more than one board with air probe ('e2’ displayed)A dvanced functions (address 247)For the interaction with a webserver supervisor, some control flags have been added. The flags are grouped in a single reg-ister, accessible in reading/writing.If the power supply is cut off, the data is maintained. Thepower supply is cut off, except for “FLAG 7” that will be de-leted.If restrictions are enabled for pressing keys, the icon willflash on the display.The setpoint can normally be adjusted locally within the lim-its SPL-SPH or SPL_W and SPH_W with Flag 5=1.To enter the setpoint, write the absolute value within the se-lected scale in register 231 SP .D evice address setting From the keyboards of the eCA644, eCA647, eDA649 and eDB649 kits (or using a “detachable” one for the eSD659 kits) the peripheral address (associated with register 200) can be displayed and modified.To view and change the address:with the panel in standbypress and hold the AUTO key for 5 seconds – address 001 appears flashingwith the and keys set a value from 1 to 255press the “standby” key or wait 10 seconds to confirm the settingIt is advisable to write the addresses for the individual fancoils in a table so that they can be easily identified once they are recognised by the system.Proceed as followsDo not assign any fancoil to 001. This precaution will allow Arrayany replacements and/or additions of network devices in the future.T roubleshooting。
GW48-PK2/CK实验系统说明杭州康芯电子有限公司本实验课件是针对清华大学出版社的《EDA技术与VHDL》一书的EDA 软件平台是:Max+PlusII FPGA 硬件平台是:EP1K30TCGW48主系统结构设置说明K X康芯科技GW48-PK2实验系统(配有GWAK30等适配板)FPGA编程下载口,接PC机并口通用编程下载电路模块PS/2键盘口PS/2键盘口ByteblasterMV口可用于对FPGA、CPLD和单片机编程Byteblaster II口电路模式显示步进电机直流电机监控单片机显示单片机FPGAJTAG口ADC0809DAC0832图象显示数据ROM时钟选择区域超高速D/A超高速D/A超高速A/D超高速A/D液晶显示屏20MHz有源晶振50MHz有源晶振ispPAC模拟EDA器件适配板插座VGA显示接口RS232串行接口智能逻辑笔FPGA掉电保护配置器GW48主系统默认设置说明注1:在以后的实验设置说明中,除特定指出外,表示其他设置全部采用默认设置;注2:在每一个实验前必须首先确定默认设置!默认:只有第4拨码向下:最上的8个数码管显示允许短路帽默认向下插:不起任何作用,若向上插,则短路AIN0和AIN1。
DAC0832模拟信号默认:只有第4拨码向下:最上的8个数码管显示允许短路帽默认向下插:不起任何作用,若向上插,则短路AIN0和AIN1。
DAC0832模拟信号ADC0809DAC0832电位器VR1当拨码开关拨时,8个数码显示器进入扫描工详细说明可参考《SOPC_EDA实验讲义》电位器VR1注意拨码开关DAC0832原理图详细说明可参考《SOPC_EDA实验讲义》K X 康芯科技ByteblasterMV 编程口PS/2鼠标接口短路帽插上方:选择ByteblasterMV下载允许开关向上拨电路模式选择5GW48系统左侧短路帽插上方:选择I/O 电压3.3VByteblaster II 编程口短路帽插下方:选择步进电机禁止短路帽插下方:选择直流电机禁止短路帽插下方:选择直流电机转速计数禁止+/-12V电源入口K X 步进电机主系统5V 工作电源保险丝+/-12V 开关默认向下:关闭PS/2键盘接口直流电机直流电机转速计数红外管扬声器步进电机引脚所定说明两电机接口原理图详细说明可参考《SOPC_EDA实验讲义》附图18是实验系统上的两个电机的引脚图,是以标准引脚方式标注的,具体引脚要查附录第3节表。
例如步进电机的Ap相接PIO65,对于SOPC板的EP1C6查表,对应引脚为:219。
直流电机的MA1和MA2相为PWM输入控制端,cont为光电输出给FPGA的转速脉冲,接PIO66。
注意1,不作电机实验时要通过3个跳线座,禁止它们;如其中JM0是步进电机的开关跳线,如此等等。
注意2,转速计数脉冲信号(由PIO66)进入FPGA后要作消抖动处理!通用接插座CON2通用接插座CON3,与CON2完全相同通用接插座CON1+/-12V电源入口K X 康芯科技主控单片机GW48系统上侧数码显示器K X 康芯科技液晶屏VGA 允许:短路帽向上GW48系统右上侧FPGA 直接控制液晶屏I/O 口,可与右上脚“LCD_PORT”相接VGA 口显示主控单片机USB 口当接插VGA 禁止:短路帽向下时:此口可接受来自FPGA 的VGA 信号,主要指全彩色信号。
当拔除旁边的单片机后,将此口与左下方的12针口相连,FPGA能直接控制液晶屏显示(如果FPGA 中已有CPU ,如Nios 等)详细说明可参考《SOPC_EDA实验讲义》GW48-PK2系统VGA接口原理图,GW48-PK2系统USB接口原理图GW48-CK系统VGA接口原理图,GW48-PK2/CK系统RS232接口原理图,K X 康芯科技GW48系统右侧注意,为产生模拟测试信号,必须打开系统左上角的+/-12V 电压开关模拟信号源控制,短路H_F :信号频率接1KHz 以上,通常接65536Hz 短路L_F :信号频率接1KHz 以下,通常接256Hz ,默认接H_F 示波器接于此,监视模拟信号情况,幅度不大于3.5V调协模拟信号幅度,使不大于3.5V为产生模拟信号,同频率的时钟信号由“INPUT”端接入由同频率的时钟信号生成的模拟信号由“OUTPUT”端输出,可直接接入A/D 口中此发光管用于测试FPGA 输出信号电平,输入口在下方键1至键8受模式电路控制,功能随模式的不同而不同,他们的信号没有抖动。
此6个端口对应6个键的输出口:键9、10、11、12、13、14。
当键1-8不够用时,可以将此端与FPGA 的I/O 相接;但要注意,此键发出的信号未去抖动,必要时,应该在FPGA 中加入去抖动电路!事实上,设计去抖动电路也是一种重要的实验内容。
当向上短路,并选择模式A 时,系统变成频率计;当向下短路时为默认!!!32pinRAM/ROM32Pin RAM/ROM与FPGA接口原理图详细说明可参考《SOPC_EDA实验讲义》此开关控制RS232串行通信口与上方的单片机接,还是直接与目标器件FPGA接。
默认向右拨,使RS232接系统上方的单片机RS232串行通信口,接PC机K X 康芯科技当电路模式选择“A”;JP11接插“测频允许”时,此端可输入脉冲信号,GW48系统成为一频率计Clock0可接入的时钟频率为50MHz 至0.5Hz Clock0接入FPGA 的引脚号随FPGA 的型号不同而不同,这要通过查表确定。
如:EP1C6D 是179脚;EP1C3是123脚等Clock9可接入的时钟频率为12MHz 至3MHzClock9接入FPGA 的引脚号也随FPGA 的型号不同而不同,这要通过查表确定。
如:EP1C6D 是175脚;EP1C3是128脚等,现在接在12MHz 上Clock5可接入的时钟频率为32768Hz 至1024Hz Clock5接入FPGA 的引脚号也随FPGA 的型号不同而不同,这要通过查表确定。
如:EP1C6D 是177脚;EP1C3是125脚等,现在接在1024Hz 上Clock2可接入的时钟频率为8Hz 至1Hz Clock2接入FPGA 的引脚号也随FPGA 的型号不同而不同,这要通过查表确定。
如:EP1C6D 是178脚;EP1C3是124脚等,现在接在1Hz 上逻辑笔信号输入口,可测高电平、低电平、中电平、高阻态和连续脉冲信号(4的发光管全部亮)。
系统复位键,模式选择后和模式切换后都要按以下此键GW48系统右下侧注意,时钟选择座都有两排针,如Clock0座,靠左边一排针都被短路连在一起的,并接Clock0,此clock0端接FPGA ,具体引脚要查表。
右边一排针分别接标注的时钟信号,如最上右针接50MHz 时钟,最下的针接0.5Hz 时钟。
系统复位键。
注意此键仅对实验系统键控电路有效,对目标板上的FPGA 无效clock0对于适配板为GWAC3,Clock0对应pin93KX 康芯科技JTAG 通信口,在GW48系统箱上时基本不用此口,信号从下方进入超高速A/D 、D/A 板插座与FPGA 直接连接的并口可与PC 机的并口相接,用于实现FPGA 与PC 机通信方面的实验FPGA EP1K30当此适配板单独使用时(如用于电子设计竞赛),插于其上的高速A/D ,D/A 的+/-12V 电压可以由此端进入GW48系统中FPGA 掉电保护配置器,将MAX+PLUSII 生成的HEX 文件用编程器烧到此板上的27C020中,并插于该板后,打开系统电源,EPROM 中的数据就会自动配置于EP1K30中按此键启动重新配置操作配置成功,此灯亮键1至键8受模式电路控制,功能随模式的不同而不同,他们的信号没有抖动。
此6个端口对应6个键的输出口:键9、10、11、12、13、14。
当键1-8不够用时,可以将此端与FPGA的I/O相接;但要注意,此键发出的信号未去抖动,必要时,应该在FPGA中加入去抖动电路!事实上,设计去抖动电路也是一种重要的实验内容。
当向上短路,并选择模式A时,系统变成频率计;当向下短路时为默认!!!K X康芯科技高速A/D 、D/A 板,需要单独购配GW48系统中部:当插有高速A/D 、板时20MHz10位A/D :87620MHz8位A/D :5510120MHz10位D/A :5651B120MHz10位D/A :5651A A/D5510模拟信号输入端A/D876模拟信号输入端ADC5651B 输出极性选择100MHz10位D/A5651B 模拟信号输出端A/D 、D/A 工作时钟选择跳线:向左短路Æ选择clock0 ;向右短路Æ选择板上的20MHz 时钟;注意,选定后,此时钟要同时被引入FPGA 中,其引脚可查表。
此电位器用于调协D/A5651B 的输出幅度此电位器用于调协D/A5651A 的输出幅度参考电压输入端,默认短路“VCC”端ADC5651A 输出极性选择260MHz 超高速运放260MHz 超高速运放260MHz 超高速运放260MHz 超高速运放120MHz10位D/A5651B 模拟信号输出端K X工作时钟CLK 选择ADDA 板上的20MHz 时钟源,对应Æpin126,参考表7-2波形数据由DAC5651(A 口)的数据口进入,引脚锁定参考表7-1用于示波器锯齿波输出的数据由DAC5651(B 口)进入,引脚锁定参考表7-2输入口,引脚锁定参考表7-3K X康芯科技ispPAC 器件插座:ispPAC10;ispPAC20;ispPAC30;ispPAC80等GW48系统右侧ispPAC 器件插座K X康芯科技ispPAC 器件GW48系统右侧(该板需要另购)ispPAC 器件编程下载用JTAG 口ispPAC 器件自动校0键ispPAC 器件差分输入端ispPAC 器件参考电压自动校准选择跳线ispPAC 器件输出端FPGA控制端口D-PIO64(VCC SLAVE复位K X康芯科技液晶显示电路接口详细情况可参考《SOPC-EDA 实验讲义》KX此开关控制RS232串行通信口与上方的单片机接,还是直接与目标器件FPGA 接。
默认向右拨,使RS232接系统上方的单片机RS232串行通信口,接PC 机FPGA 控制端口拔去单片机控制端口接上14芯短接线JP19JL12注意在此的《EDA技术实用教程》一书配套实验说明ppt课件中,主要是以适配板GWAK30(EP1K30T144)为目标器件的,如果用户使用的其他目标器件(适配板),如GWAK50、GWAC3、SOPC/GWAC6(EP1C6)等,都能通过已知的引脚查到其他器件的引脚,并锁定之。