整点报时电路
- 格式:pdf
- 大小:232.21 KB
- 文档页数:22
整点报时电路
有不少场合,如车站候车室、浴室、写字楼、医院等公共场合,一般设置的数字钟都无声光报时功能,这给旅客、顾客或病员等会带来不便。
本整点报时电路在整点时能准确地进行声、光报时,能奏出一首世界名曲,且每次整点按序换一首乐曲。
整点报时电路包括数字钟定时控制电路、单稳态定时电路和名曲演奏电路等,电路如图所示。
定时控制电路是以LCD数字钟芯片KS5195(或KS5194)为核心组成的,它外接专用石英晶体32768Hz,可变电容器C0用以微调其准确的时间基准。
从AL钟控端引出整点的定时信号,每到整点时刻便输出一高电平信号(Vp-p=1.3v,t=50ms)。
IC2采用时基电路555,它与R3、C3等组成一个单稳态定时电路。
在整点时刻,钟控定时脉冲使VT1饱和导通,555的2脚呈低电位,将555翻转置位,3脚转呈高电位。
高电位保持的时间,即单稳态的暂稳时间为td=1.1R3C3,根据后级KD-4821演奏一首乐曲的时间,暂稳时间设计为52秒。
调节充电时间常数RC,可改变其演奏时间。
在IC2的输出转呈高电位后,发光二极管LED发光,同时,IC3得电并触发,迅即奏放乐曲一首。
IC3采用16首乐曲集成电路KD-482I,它内存有16首世界名曲。
多功能数字钟电路设计1设计内容简介数字钟是一个简单的时序组合逻辑电路,数字钟的电路系统主要包括时间显示,脉冲产生,报时,闹钟四部分。
脉冲产生部分包括振荡器、分频器;时间显示部分包括计数器、译码器、显示器;报时和闹钟部分主要由门电路构成,用来驱动蜂鸣器。
2设计任务与要求Ⅰ以十进制数字形式显示时、分、秒的时间。
Ⅱ小时计数器的计时要求为“24翻1”,分钟和秒的时间要求为60进位。
Ⅲ能实现手动快速校时、校分;Ⅳ具有整点报时功能,报时声响为四低一高,最后一响为整点。
Ⅴ具有定制控制(定小时)的闹钟功能。
Ⅵ画出完整的电路原理图3主要集成电路器件计数器74LS162六只;74LS90三只;CD4511六只;CD4060六只;三极管74LS191一只;555定时器1只;七段式数码显示器六只,74LS00 若干;74LS03(OC) 若干;74LS20 若干;电阻若干,等4设计方案数字电子钟的原理方框图如图(1)所示。
该电路由秒信号发生器、“时,分,秒”计数器、译码器及显示器、校时电路、整点报时电路、闹钟定时等电路组成。
秒信号产生器决定了整个计时系统的精度,故用石英晶体振荡器加分频器来实现。
将秒信号送入“秒计时器”,“秒计时器”采用六十进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用六十进制计数器,每60分钟,发出一个“时脉冲”,该信号经被送到“时计数器”作为“时计数器”的时钟脉冲,而“时计数器”采用二十四进制计数器,实现“24翻1”的计数方式,可实现对一天二十四小时的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态通过七段式显示译码器译码,通过刘伟LED 七段显示器显示出来。
整点报时电路是根据计时系统的输出状态产生一脉冲信号,然后触发一音频发生器实现整点报时,定时电路与此类似。
校时电路是用“时”、“分”、“秒”显示数5电路设计5.1秒信号发生器秒信号发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体整荡器产生的脉冲经过整形、分频获得1 Hz的秒脉冲。
功能数字钟的电路设计数字钟是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置。
钟表的数字化在提高报时精度的同时,也大大扩展了它的功能,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯等。
因此,研究数字钟及扩大其应用,有着非常现实的意义。
1、设计目的1)掌握数字钟的设计、组装与调试方法。
2)熟悉集成电路的使用方法。
2、设计任务与要求1)时钟显示功能,能够以十进制显示“时”、“分”、“秒”。
2)具有校准时、分的功能。
3)整点自动报时,在整点时,便自动发出鸣叫声,时长1s。
选做:1)闹钟功能,可按设定的时间闹时。
2)日历显示功能。
将时间的显示增加“年”、“月”、“日”。
3、数字钟的基本原理及电路设计一个具有计Array时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。
石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。
数字钟的整机逻辑框图如下:图 1数字钟整机逻辑图振荡器方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。
图 2 555与RC 组成的多谐振荡器图 分析:图中的C2为保护电容,其取值并没有什么要求。
在本设计中,我假设输出的脉冲的占空比为2/3,并且把555与RC 组成的多谐振荡,参考书本上的方案得出占空比3222121=++=R R R R q 故得到R1=R2。
又有电路的振荡周期T=T1+T2=(R1+2R2)Cln2得T=(R1+2R2)Cln2=103-S 。
我在实验中取电容为10nf 。
带入式中,可以得出R1=R2=48K Ω。
在这里取两个47K Ω电阻和滑动电阻2K Ω。
仿真结果如图所示,误差还是比较低的。
方案二:石英晶体振荡器。
石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。
第一章数字钟的工作原理第一节介绍20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力的推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品跟新换代的节奏也越来越快。
数字钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。
由于数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,节省了电能。
因此在许多电子设备中被广泛使用。
数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。
通过设计加深对刚刚学习了的数字电子技术的认识。
本次设计以数字电子为主,分别对一秒信号源、秒计时显示、分计时显示、小时计时显示、整点报时及校时电路进行设计,然后将它们组合来完成时、分、秒的显示并且具有整点报时和走时校时的功能。
并通过本场设计加深对数字电子技术的理解以及更熟练是有计数器、触发起和各种逻辑门电路的能力。
电路主要使用集成计数器,例如74LS160、CD4518、译码集成电路,例如CD4511、LED数码管及各种门电路和基本的触发器等,电路使用5号电池供电,很合适在日常生活中使用。
第二节设计方案论证方案一:采用小规模集成电路实现采用集成逻辑电路设计具有能实现,时分秒计时功能和多点定时功能,计时数据的更新每秒自动进行一次,不需程序干预。
方案二:EDA技术实现采用EDA作为主控制外围电路进行电压,时钟控制键盘和LED控制,此方案逻辑电路复杂,且灵活性较低,不利于各种功能的扩展,在对电路进行检测比较困难。
方案三:单片机编程实现在按键较少的情况下,采用独立式4个按键,经软件设计指定的I/O 口,送出逻辑电平,控制数码管显示,根据数字电子钟的设计要求与原理以及特性,本系统采用单片机AT89C52串口输出的形式来设计电路,使功能及效果更完美。
EDA技术课程设计课题:整点报时电路系别:电气与电子工程系专业:电子信息工程姓名:学号:指导教师:陈英梁成武赵红梅河南城建学院2012年6月21 日成绩评定·一、指导教师评语(根据学生设计报告质量、答辩情况及其平时表现综合评定)。
二、课程设计评分成绩:2012年 6月日目录一、设计目的 (4)二、设计要求 (4)三、总体设计方案要求 (4)1、设计的总体原理 (4)2、设计内容 (4)四、EDA设计与仿真 (4)1、程序 (4)2、仿真与波形图 (7)五、硬件实现 (10)1、硬件实现步骤 (10)2、硬件实现结果 (11)六、设计总结 (15)七、参考文献 (15)八、设计生成的电路总图 (16)一、设计目的这次课程设计主要是培养我们的实际动手能力,加深我们对EDA这门课程的理解,增强我们对EDA程序设计流程的掌握。
这个课题还要求我们掌握计数器的设计,六十进制计数器和二十四进制计数器的设计方法,以及各个进制之间的连接关系。
同时学习了复位功能实现的方法。
二、设计要求1、具有时、分、秒,计数显示功能,以二十四时制循环计;2、设置复位开关,以满足重新运行功能;3、要求计时精度为0.01秒,最长时间为24H;4、每当时钟运行到整点时,要求电路能推动扬声器发出与整点数目相等的音响声。
例如:时钟到达10点,扬声器响10下三、总体设计方案要求1.设计的总体原理要实现一个整点报时系统,整个系统有数字钟,整点报时系统和复位系统组成。
数字钟正常运行,每当分为零时将时的数值赋予蜂鸣器响应系统,就实现了整点报时,且扬声器发出与整点数目相等的音响声。
四、EDA设计及仿真library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity zdbs isport(clk,rst:in std_logic;clk_1024:in std_logic;spk:out std_logic;h1,h2,s1,s2:out std_logic_vector(3 downto 0);m1,m2:buffer std_logic_vector(3 downto 0));end zdbs;architecture behav of zdbs issignal h,s:integer range 0 to 69;signal aleart:std_logic;beginprocess(clk)variable temp1:std_logic_vector(3 downto 0):="0001";variable temp2:std_logic_vector(3 downto 0):="0101";variable temp3:std_logic_vector(3 downto 0):="0101";variable temp4:std_logic_vector(3 downto 0):="1001";variable temp5:std_logic_vector(3 downto 0):="0101";variable temp6:std_logic_vector(3 downto 0):="0000";beginif rst='1' thentemp1:="0001";temp2:="0101";temp3:="0101";temp4:="1001";temp5:="0101";temp6:="0000";elseif(clk'event and clk='1')thentemp6:=temp6+1;if(temp6=10)thentemp6:=(others =>'0');temp5:=temp5+1;if (temp5=6)thentemp5:=(others =>'0');temp4:=temp4+1;if(temp4=10)thentemp4:=(others =>'0');temp3:=temp3+1;if (temp3=6)thentemp3:=(others =>'0');temp2:=temp2+1;if(temp2=10)thentemp2:=(others =>'0');temp1:=temp1+1;end if;if((temp1=2)and(temp2=4))thentemp1:=(others =>'0');temp2:=(others =>'0');end if;end if;end if;end if;end if;end if;end if;h<=10*conv_integer(temp1)+conv_integer(temp2);s<=10*conv_integer(temp5)+conv_integer(temp6);h1<=temp1;h2<=temp2;m1<=temp3;m2<=temp4;s1<=temp5;s2<=temp6;end process;process(m1,m2,h,s)beginif((m1=0)and(m2=0)and(s<h))thenaleart<='1';elsealeart<='0';end if;end process;process(aleart,clk,clk_1024)beginif(aleart='1')thenspk<=clk and clk_1024;elsespk<='Z';end if;end process;end behav;图4-1 秒计数器框图(2)时序仿真及仿真波形图如下:图4-1 源程序编译仿真图图4-2时序仿真图由程序及仿真波形图可以看出该时钟系统是由两个60进制计数器和一个24进制计数器组成,分和秒是60进制,时是24进制。
EDA整点报时课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握整点报时的原理。
2. 学生能运用所学知识,设计并实现一个简单的整点报时电路。
3. 学生了解时钟信号的基本特性,理解晶振在时钟电路中的作用。
技能目标:1. 学生通过本课程的学习,具备使用EDA软件进行电路设计和仿真的能力。
2. 学生能够运用电子元件搭建整点报时电路,并解决实际操作过程中遇到的问题。
3. 学生能够进行简单的电路调试和优化,提高电路的稳定性和准确性。
情感态度价值观目标:1. 培养学生对电子工程领域的兴趣,激发他们探索未知、勇于创新的科学精神。
2. 学生通过团队协作完成课程任务,培养合作意识,提高沟通能力。
3. 学生在课程实践中,体验到学以致用的成就感,增强自信心。
课程性质:本课程为实践性较强的课程,结合理论教学和实际操作,旨在培养学生运用EDA技术解决实际问题的能力。
学生特点:学生具备一定的电子基础知识,对新鲜事物充满好奇心,喜欢动手实践。
教学要求:教师需注重理论与实践相结合,引导学生主动参与,关注学生的个体差异,提供有针对性的指导。
通过课程学习,使学生在知识、技能和情感态度价值观方面均有所提升。
二、教学内容本课程以《电子技术》教材中关于EDA技术和时钟电路的相关章节为基础,结合以下教学内容:1. EDA技术简介:介绍EDA技术的发展、基本概念及其在电子设计中的应用。
2. 时钟信号原理:讲解时钟信号的基本特性、晶振的工作原理及其在时钟电路中的作用。
3. 整点报时电路设计:分析整点报时电路的原理,介绍常见电路元件的选用和连接方法。
4. EDA软件操作:教授EDA软件的基本操作,包括原理图绘制、电路仿真和PCB布线等。
5. 电路搭建与调试:指导学生使用电子元件搭建整点报时电路,并进行调试和优化。
教学内容安排和进度如下:1. 第1课时:介绍EDA技术及其在电子设计中的应用。
2. 第2课时:学习时钟信号原理,了解晶振的作用。
目录一、概述 (1)数字钟简介设计目的设计要求二、主要实验器材 (2)三、设计原理及方框图 (3)四、各部分的电路及实现 (5)振荡器电路计数器的设计六十进制电路整点报时电路校时电路五、总体电路图设计 (10)六、安装与调试 (12)七、收获与体会 (12)一、概述1.1数字钟简介20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。
数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。
由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。
电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。
多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。
具有时间显示、走时准确、显示直观、精度、稳定等优点。
电路装置十分小巧,安装使用也方便。
同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱设计目的(1).让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;(2). 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;(3). 提高电路布局﹑布线及检查和排除故障的能力;(4).培养书写综合实验报告的能力设计要求(1)设计一个有“时”、“分”、“秒”(12小时59分59秒)显示,且有校时功能的电子钟。
整点报时电路设计原理《整点报时电路设计原理》你有没有那种特别想要了解一些神奇小电路的时候呢?就像整点报时电路,感觉超级酷。
那今天呀,咱们就来好好唠唠这个整点报时电路的设计原理。
首先呢,咱们得有个时钟信号源。
这就好比是整个电路的心跳,一下一下特别有规律。
这个时钟信号就像我们生活中的时钟滴答滴答地走,它会按照固定的频率发出信号,比如说每秒或者每毫秒来那么一下。
这个频率就决定了整个电路计算时间的基本节奏。
那有了这个心跳之后呢,我们需要一个计数器。
这个计数器就像是一个很有耐心的小会计,一笔一笔地记录着时钟信号的跳动次数。
比如说,它可以从0开始数,每来一个时钟信号就加1。
这就像是我们数秒一样,滴答一下就多一秒。
不过呢,光这么一直傻数也不行呀,我们得知道什么时候是整点。
这时候就需要一个比较器出场啦。
比较器就像是一个很严格的裁判,它知道每个整点对应的计数是多少。
比如说,一个小时有3600秒,如果我们的计数器是按照每秒加1的速度在计数,那么当计数器数到3600的时候,就到整点啦。
比较器就会去查看计数器的值,当这个值和它心里设定的整点对应的数值相等的时候,就好比裁判吹响了哨子,说:“嘿,到点啦!”到这一步还没完呢,虽然裁判知道到点了,但是得让我们听到呀,这就需要一个发声装置啦。
这个发声装置就像是一个小喇叭,当比较器判定到整点之后,就会给发声装置一个信号,然后小喇叭就会“嘟”或者“叮咚”地响起来,告诉我们现在是整点啦。
这里面还有一些小细节呢。
比如说,为了让这个电路更精确,我们的时钟信号源得非常稳定,就像一个特别守时的人,从不会迟到或者早退。
而且计数器也要足够大,能够记录很长时间的时钟信号跳动,不然数着数着就乱套了,就像你数东西数到一半突然忘记数到哪儿了一样。
再来说说这个比较器的设定吧。
如果我们想要12小时制的整点报时,那比较器就需要设定12个不同的整点数值,像1点对应的3600秒,2点对应的7200秒等等。
要是24小时制呢,那就得设定24个不同的值啦。
1 引言现代科技的不断发展,电子产品越来越向集成化和多功能方面发展。
人们对电子产品的要求也越来越高。
不论是学生还是工作者都离不开电子产品。
电子时钟在人们的生活中应用很广泛,由于其使用方便、价格低廉、性能稳定,非常受人们的欢迎.2 原理框图图2.1原理框图数字钟电路系统由主电路和扩展电路两大部分组成,其中主体电路完成数字钟的基本功能,扩展电路完成控制电路。
系统工作原理:由振荡器输出稳定的高频脉冲信号作为时间基准,经分频振荡器输出标准的秒脉冲,秒计数器满60向分计数器进位,分计数器满60向小时进位,小时计数器按“12翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒。
并具有可整点报时与定时闹钟的功能。
3 主体电路的设计3.1 振荡器晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
数字显示的电子钟常使用晶体振荡器电路。
如图3.1所示为电子手表集成电路中的晶体振荡器,其内部有15级2分频集成电路所以输出端正好可得到1HZ的标准脉冲。
晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。
图3.1晶体振荡器电路3.2 分频器图3.2分频器电路分频器的功能主要有两个:一是产生标准脉冲信号,二是提供功能扩展电路所需要的信号。
选用中规模集成电路计数器74LS90可以完成上述功能。
如图3.2将三片74LS90进行级联因每片为1/15分频器,三片级联正好获得1HZ的标准脉冲。
有表1得,当74LS90接成BCD十进制计数器时,Q1的输出是输入脉冲CP的2分频,所以第一片74LS90的Q1输出脉冲频率为500HZ3.3 时分秒计数器分和秒计数器都是M=60的计数器,采用中规模集成电路十进制计数器至少需要两片,因为10〈M〈100。
他们的个位都是十进制器,而十位则是六进制计数器,其计数规律为00—01—02—…..—58—59—00。
目录1 绪论 (1)2 设计主体 (1)2.1 振荡器 (1)2.1.1 555定时器的电路结构及工作原理 (2)2.1.2 用555定时器构成多谐振荡器 (4)2.2 分频器 (5)2.3 校正电路 (6)2.3.1 校“秒”电路 (7)2.3.2 校“分”电路 (7)2.3.3 校“时”电路 (8)2.4 整点报时电路 (8)2.5 计数器、译码器和显示器 (9)3 心得体会 (10)参考文献 (12)具有整点报时功能的数字钟1 绪论数字钟是集模拟技术与数字技术为一体的一种综合应用。
数字钟与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用,数字电子钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序逻辑电路。
此次设计数字电子钟是为了了解数字电子钟的原理,从而学会制作数字电子钟,而且通过数字电子钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实现方法,且由于数字电子钟电路包括组合逻辑电路和时序逻辑电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。
2 设计主体数字钟是用计数器、译码器和显示器等集成电路实现“时”、“分”、“秒”按照数字方式显示的计数装置,主要由振荡器、分频器、校正电路、计数器、译码器和显示器六部分组成,如框图2-1所示。
图2-1 数字钟框图2.1 振荡器振荡器是数字钟的核心。
振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路,也可以选择555定时器。
我在这里选择的是555定时器。
555定时器是一种应用极为广泛的中规模集成电路,因集成电路内部含有3个5KΩ电阻而得名。
该电路使用灵活、方便,只需接少量的阻容元件就可以构成施密特触发器、单稳态触发器和多谐振荡器,且价格便宜。
555定时器广泛应用于信号的产生、变换、控制与检测。
目前生产的555定时器有双极型和CMOS两种类型,主要厂商生产的产品有NE555、FX555、LM555和C7555等,它们的结构和工作原理大同小异,引出线也基本相同,有的还有双电路封装,称为556。