《EDA技术及应用》实验指导书
- 格式:doc
- 大小:551.00 KB
- 文档页数:30
《EDA技术及应用》课程设计指导书电子信息教研室前言《EDA技术及应用》是电子信息工程专业的必修课之一,它的理论性和实践性很强,只有通过实践才能较深入地理解和掌握本课程的基本内容。
《EDA技术及应用》课程设计就是为解决这个问题而设置的。
通过本课程的设计,增加学生的实际知识,提高学生的动手能力,培养学生独立分析和解决问题的能力,为以后的工作打下良好的基础。
目录一、课程设计的任务和目标: (4)二、教学内容及安排 (4)三、课程设计的内容 (5)四、课程设计基本要求: (8)五、课程设计注意事项 (9)一、课程设计的任务和目标:《EDA技术及应用课程设计》是配合《EDA技术及应用》课程的实践性环节,是电子信息、自动化、机械制造及其自动化专业专业的专业基础类必修的实践环节。
通过课程设计使学生运用所学理论知识完成一个EDA系统的设计与调试,熟悉和掌握EDA应用系统的开发方法和过程,从而培养学生利用单片机解决问题的基本思路和应用开发能力,培养学生综合运用知识、动手能力和解决实际问题的能力。
二、教学内容及安排本课程设计要求学生设计一个单片机应用系统,完成相对完整的测试、控制任务。
课程设计内容包括:(1)选题;本课程设计中提供给学生一系列基本题目,并启发和鼓励学生参与题目的设计和确定,使课程设计的任务既能贴近工程应用实际,又能兼顾学生的兴趣,由指导教师结合课程设计的要求调整确定。
约占2学时。
(2)方案设计;学生围绕自己的题目检索收集资料,进行调研,提出系统总体方案设计,选择最优方案。
4学时。
(3)软硬件系统设计与调试;总体方案确定后,设计完成硬件原理图,并在试验应用板上连接好硬件系统。
设计完成软件程序流程,并编写出相应的程序。
完成软硬件系统的联机调试,实现选题的设计目标。
约占12学时。
(4)课程设计论文的编写;学生根据自己的题目撰写课程设计论文,陈述设计思想和解决问题的方案、方法,画出系统原理电路图、程序流程图;写出调试结果及分析,附参考文献。
EDA 技术与应用实验指导书习题参考答案邹红文2015. 11目录实验一 QUARTUS II 软件入门 (1)实验二VHDL数字频率计 (11)实验三DDS信号发生器 (15)实验四运算器 (21)实验五微控制器(1) (28)实验六微控制器(2) (32)实验七音乐播放器 (38)实验一 QUARTUS II 软件入门一、实验目的学习QUARTUSII 的使用方法:建立原理图文件、工程文件、波形图文件以及仿真;掌握波形分析方法。
学习QUARTUSII 几种常用门电路的符号、逻辑功能。
二、实验内容1. 以“与门”为例,练习原理图的仿真步骤。
2. 以“选择器”为例,练习VHDL 的仿真步骤。
三、原理图的仿真步骤1.建立文件夹,用于存放所有的实验内容。
文件夹名称为你的学号,例如:B0511。
2.在文件夹“B0511”中建立文件夹“实验一”,存放实验一的内容。
再建立子文件夹“与门”。
请特别注意:“与门”这个文件夹在下面第6步保存波形图文件时还要用到!3.按照图1建立原理图文件YM2.BDF (不区分大小写!)①选菜单FILE →NEW ,进入图2,选BLOCK DIAGRAM ,系统自动打开一个空白设计页面。
图2 新建原理图文件图1 与门逻辑电路图②点工具 , 打开图3。
在图3中,选择PRIMITIVES →LOGIC →AND2,单击OK 。
然后在图4中单击左键,把与门AND2放置到设计页面中。
③击右键,选择CANCEL,结束与门的放置,见图4。
④添加输入输出引脚,更改引脚名称,连线。
在图3中选PRIMITIVES\PIN\INPUT ,单击OK ,然后在原理图中放置2个INPUT 。
在图3中选PRIMITIVES\PIN\ OUTPUT ,单击OK ,然后在原理图中 放置1个OUTPUT 。
双击引脚,在系统对话框PIN PROPERTIES (引脚属性,如图5 所示)中分别输入新引脚名A (B 、Y )之后,单击“确定”。
EDA技术及应用实验指导书顾庆水序言《EDA技术及应用实验指导书》是与理论课程《EDA技术及应用》配套开出的,是电信专业的一门专业实验课程,对电信专业的学生具有非常重要的作用。
本实验课与理论课同时进行,与理论课有着较密切的联系。
因此同学们在做本实验之前必须具备以下的基础知识:1、数字电路的基础知识;2、电子计算机常用操作系统的使用方法;3、一定的英语基础;4、必须有一定的理论知识做基础,与理论课同时进行。
PLD(可编程逻辑器件)是与ISP(在系统可编程)技术和EDA(电子设计自动化)工具紧密结合、同时进行的。
它代表了数字电信领域的最高水平,给数字电路的设计带来了革命性的变化。
从70 年代第一片可编程逻辑器件PROM的诞生到现在的CPLD/FPGA,数字系统的设计发生了本质的变化。
从传统的对电路板的设计到现在的基于芯片的设计,使得数字系统设计的效率大大提高,产品更新速度大大加快,设计周期大大变短。
所以同学们学习本课程有着非常重要的意义。
本实验不同于其它实验,他的实验手段和实验方法都有了重大的变化,主要体现在以下几个方面:首先:实验方法不同本实验是在PC平台上,用原理图或文本进行输入,然后进行编译,通过之后再进行波形仿真,如有缺陷,再回过头去对源文件进行修改。
其流程图如下:其次:实验手段不同本实验是利用ISP技术、采用EDA工具、应用PLD 器件,在PC平台上进行的。
第三、本实验课的目的学生学习完本实验课后,应达到如下的要求:1、能熟练使用本实验的配套EDA软件Mux+plusⅡ;2、掌握PLD 芯片的基本使用方法,能用现代数字系统的设计方法进行基本的数字系统设计;3、掌握图形编辑和VHDL文本编辑两种设计方法,重点是VHDL文本编辑;4、具备基本的开发能力,为后续学习打下坚实的基础。
实验规则为了维护正常的实验教学次序,提高实验课的教学质量,顺利的完成各项实验任务,确保人身、设备安全,特制定如下实验规则:一、实验前必须充分预习,完成指定的预习内容,并写出预习报告,预习要求如下:1、认真阅读本实验指导书,分析掌握本次实验的基本原理;2、完成各实验预习要求中指定的内容;3、熟悉实验任务。
实验一组合逻辑器件设计一、实验目的1、通过一个简单的3-8译码器的设计,掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3、初步了解QUARTUS II原理图输入设计的全过程。
二、实验主要仪器与设备1、输入:DIP拨码开关3位。
2、输出:LED灯。
3、主芯片:EP1K10TC100-3。
三、实验内容及原理三-八译码器即三输入,八输出。
输出与输入之间的对应关系如表1-1-1所示。
表1-1 三-八译码器真值表四、预习要求做实验前必须认真复习数字电路中组合逻辑电路设计的相关内容(编码器、译码器)。
五、实验步骤1、利用原理图设计输入法画图1-1-1。
2、选择芯片ACEX1K EP1K10TC100-3。
3、编译。
4、时序仿真。
5、管脚分配,并再次编译。
6、实验连线。
7、编程下载,观察实验结果。
图1-1 三-八译码器原理图六、实验连线用拨码开关的低三位代表译码器的输入(A,B,C),将之与EP1K10TC100-3的管脚相连;用LED灯来表示译码器的输出(D0~D7),将之与EP1K10TC100-3芯片的管脚相连。
拨动拨档开关,可以观察发光二极管与输入状态的对应关系同真值表中所描述的情况是一致的。
七、实验结果八、思考题在输入端加入使能端后应如何设计?附:用硬件描述语言完成译码器的设计::LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY T2 ISPORT(A: IN STD_LOGIC_VECTOR(2 DOWNTO 0);Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END T2;ARCHITECTURE A OF T2 ISBEGINWITH A SELECTY <= "00000001" WHEN "000","00000010" WHEN "001","00000100" WHEN "010","00001000" WHEN "011","00010000" WHEN "100","00100000" WHEN "101","01000000" WHEN "110","10000000" WHEN OTHERS;END A;实验二组合电路设计一、实验目的1、掌握组合逻辑电路的设计方法。
EDA技术实验指导书适用于电子信息工程专业QUARTUS II 8.1软件的使用一、实验目的与要求:1、练习使用QUARTUS II 8.1软件,掌握利用该软件进行简单EDA设计的基本流程;2、完成一个通过拨码开关控制发光二极管亮灭的应用,实验结束后可独立完成思考题。
二、实验环境与器材:1、微机(已安装授权的QUARTUS II 8.1软件)2、EDA/SOPC实验开发系统3、USB Blaster下载线一根三、背景知识与操作流程:QUARTUS II是ALTERA公司推出的EDA开发工具,其前身为MAX PLUS II,目前实验室安装的版本为8.1,利用该软件可进行对可编程逻辑器件的分析、综合、下载等设计。
EDA/SOPC实验开发系统是由北京百科公司生产的一套EDA实验系统,其核心芯片采用ALTERA公司的CYCLONE系列FPGA产品EP1C6Q240C8,同时配备了丰富的外部接口资源,可供学生进行EDA设计实验。
启动QUARTUS后的界面如图1所示,首先需要创建一个工程,具体操作过程如下:图1 QUARTUS软件的启动界面(1)点击File –> New Project Wizard创建一个新工程,系统显示如图2。
图2 工程创建向导的启始页(2)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3所示;(3)点击Next,若目录不存在,系统可能提示创建新目录,如图4所示,点击“是”按钮创建新目录,系统显示如图5所示;(4)系统提示是否需要加入文件,在此不添加任何文件;(5)点击Next,进入设备选择对话框,如图6,这里选中实验箱的核心芯片CYCLONE系列FPGA产品EP1C6Q240C8;(6)点击Next,系统显示如图7,提示是否需要其他EDA工具,这里不选任何其他工具;(7)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图8,在窗口左侧显示出设备型号和该工程的基本信息等。
实验五4位加法计数器
一、实验目的
1.掌握时钟信号、进程和BUFFER端口的运用;
2.了解计数器的设计、仿真和硬件测试,进一步熟悉VHDL语句、语法及应用等。
二、实验内容
运用Quartus II 集成环境下的VHDL文本设计方法设计4位加法计数器,进行波形仿真和分析、引脚分配并下载到实验设备上进行功能测试。
三、实验步骤及参考程序
参考程序如下:
entity CNT4 is
port( clk:in bit;
q:buffer integer range 15 downto 0);
end;
architecture bhv of CNT4 is
begin
process(clk)
begin
if clk'event and clk='1' then
q<=q+1;
end if;
end process;
end bhv;
四、实验扩展及思考
1.分析程序中各语句的功能和特点;
2.比较各种端口类型以及如何应用;
3.试设计一个含异步清0和同步时钟使能的4位加法计数器。
EDA技术实验指导书王卫平编安徽理工大学计算机学院2012.9实验一熟悉MAXPLUSⅡ设计环境(验证性实验)一、实验目的了解MAX+PLUSⅡ开发集成环境的输入编辑器、HDL综合器、仿真器、适配器和下载器,熟悉EDA设计流程。
二、实验内容熟悉MAXPLUSⅡ操作界面和基本操作步骤。
三、实验仪器与器材PC机。
四、实验基本原理MAX+plus II 界面友好,使用便捷,被誉为业界最易用易学的EDA软件。
MAX+plus II支持原理图、VHDL和Verilog语言文本文件,以及波形与EDIF等格式的文件作为设计输入,并支持这些文件的任意混合设计。
MAX+plusII具有门级仿真器,可以进行功能仿真和时序仿真,能够产生精确的仿真结果。
在适配之后,MAX+plusII生成供时序仿真用的EDIF、VHDL和Verilog三种不同格式的网表文件。
MAX+plusII支持主流的第三方EDA工具,如Synopsys、Cadence、Synplicity、Mentor、Viewlogic、Exemplar和Model Technology等。
MAX+plusII支持除APEX20K系列之外的所有Altera FPGA/CPLD大规模逻辑器件。
附图1-1 MAX+plusII的管理器窗口MAX+plusII的管理器窗口可以对MAX+plusII的所有功能进行管理和控制。
图1-2 MAX+plusII的图形编辑器MAX+plusII图形编辑器(Graphic Editor)是一个国标选取模式的程序,可快速建立简单或复杂的设计。
完成的文件格式为*. gdf,也可读入OrCAD*. sch。
附图1-3 MAX+plusII的HDL文本编辑器MAX+plusII的HDL文本编辑器是很有弹性的工具,提供多种硬件描述语言的文字编辑与合成的环境。
其中包括有:1、Altera Hardware Description Language (AHDL)2、Very High Integrated Circuit Hardware Description Language (VHDL)3、Verilog Hardware Description Language (Verilig HDL)以AHDL语法编写的文件格式为*.tdf,以VHDL语法编写的文件格式则为*.vhd,而以Verilog HDL语法编写的文件格式则为*.v。
《EDA技术》实验指导书面向专业:通信工程信息工程自动化电子信息工程电气工程及其自动化信息与通信工程学院2016年9月前言一、课程性质本课程是电子信息工程、通信工程、信息工程和自动化专业必修的专业实验课程。
通过本课程的教学,使学生掌握EDA技术的开发流程,学会利用以硬件描述语言为描述工具,以可编程逻辑器件为实现载体,在数字系统设计领域熟练应用EDA技术,使其具备研究和开发现代数字系统的能力。
二、专业安排本系统分为多个模块,适合通信工程、信息工程、自动化、电子信息工程、电气工程及其自动化等专业使用。
三、本书特点本实验指导书的特点是引入工程项目机制来管理实验项目,着重培养学生的方案设计、算法分析和现场调试能力,为培养卓越工程师打下坚实的基础。
目录前言 (I)第一章实验系统 (1)1.1 系统整体结构 (1)1.2 核心板 (1)1.3 基础扩展模块 (2)1.4 自动控制模块 (3)1.5 信号处理模块 (3)1.6 通信接口模块 (4)第二章开发平台简介 (5)2.1 Quartus II简介 (5)2.2 Quartus II开发流程 (5)第三章实验项目 (9)实验1 平台应用及全加器设计 (9)实验2 信号发生器设计 (11)实验3 数字电压表设计 (13)实验4 数字频率计设计 (16)实验5 交通灯控制器设计 (19)第一章实验系统1.1 系统整体结构本实验指导书采用的EDA综合实验开发系统是我院电子信息与通信技术实验教学中心自主研制,整体结构如图所示。
1.2 核心板核心板采用Altera公司的EP4CE22E22C8N芯片,具有低内核电压、低功耗的特点。
芯片内部具有22320个逻辑单元,594kbit RAM嵌入式储存器,66个嵌入式18×18乘法器,4组通用PLL。
1、DIP开关主要功能是控制高低电平,通过手动控制为系统提供稳定的逻辑信号。
系统总共提供了3位拨档开关,当开关的档位在上方时则输出高电平“1”,反之则为低电平“0”。
EDA技术实验指导书长沙学院电子与通信工程系2007年8月目录实验一简单逻辑电路实验 (1)实验二2选1多路选择器 (4)实验三D触发器设计 (5)实验四1位二进制全加器设计 (6)实验五4位加法计数器 (9)实验六7段数码显示译码器设计 (10)实验七带有复位和时钟使能的十进制计数器 (12)实验八带有并行置位的移位寄存器 (14)实验九较复杂电路的原理图设计 (16)实验十数控分频器的设计 (18)实验十一8位十六进制频率计设计 (20)实验十二基于LPM_COUNTER的数控分频器设计 (23)实验十五正弦信号发生器设计与LPM定制 (31)附录 (33)实验一简单逻辑电路实验一、实验目的1.学习Create-SOPC实验平台的使用方法;2.熟悉Quartus II 软件平台和使用VHDL 语言设计电路的方法;3.学习简单逻辑电路的设计、仿真和硬件测试。
二、实验内容运用Quartus II 集成环境下的VHDL文本设计方法设计半加器,进行波形仿真、引脚分配并下载到实验设备上进行逻辑功能验证。
三、实验步骤及参考程序1.创建个人实验文件夹(最好使用英文字母命名不要用中文或数字名称)如:D:\example2.运行Quartus Ⅱ软件。
3.创建一个VHDL设计文件:File -> New,在Device Design Files 中选择VHDL File。
在程序编辑窗输入VHDL程序并保存;在弹出对话框中输入文件名(必须和程序中实体名相同)并使下方小框内出现“√”,点击保存会弹出“是否创建新工程”提示信息如图1-1所示。
图1-1 图1-24.创建一个新工程:点击图1-1中“是”可进入创建工程向导(也可以File -> New project Wizard进入向导),此时看到的默认工程名、顶层实体名都为h_adder(其中工程名可换名但顶层实体名必与编写的程序实体名一致),如图1-2。
实验一组合逻辑器件设计一、实验目的1、通过一个简单的3-8译码器的设计,掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3、初步了解MAXPLUSII原理图输入设计的全过程。
二、实验主要仪器与设备1、输入:DIP拨码开关3位。
2、输出:LED灯。
3、主芯片:EP1K10TC100-3。
三、实验内容及原理三-八译码器即三输入,八输出。
输出与输入之间的对应关系如表1-1-1所示。
表1-1-1三-八译码器真值表四、预习要求做实验前必须认真复习数字电路中组合逻辑电路设计的相关内容(编码器、译码器)。
五、实验步骤(一)建立工程目录建立一个工程目录的主要目的是为了便于管理在系统编译、综合的过程中产生的许多临时文件和附属文件。
例如建立工程目录E:\TEST1\来存放这个工程所生成的所有文件。
通过系统的“开始”菜单进入MAX+plusII集成环境。
如图1-1-1所示:图1-1-1(二)建立一个新文件在图1-1-1点击“File\New”出现如图1-1-2所示的对话框。
图1-1-2选中Grapic Editor File,进入原理图设计输入电路编辑状态,如图1-1-3所示。
图1-1-31、放置一个器件在原理图上。
<1> 在原理图的空白处双击鼠标左键,出现图1-1-4。
图1-1-4<2> 在光标处输入元件名称或用鼠标点击元件,按下OK即可。
<3> 如果安放相同元件,只要按住CTRL键,同时用鼠标拖动该元件。
<4> 图1-1-5为元件安放结果。
图1-1-42、添加连线到器件的管脚上。
图1-1-5把鼠标移到引脚附近,则鼠标光标自动由箭头变位十字,按住鼠标左键拖动,即可画出连线。
如图1-1-6示。
图1-1-63、保存原理图单击保存按扭,选择工程目录,合适名称保存。
本实验取名 test1.gdf。
(三)选取芯片型号点击Assign\Device菜单,出现图1-1-7对话框,依据设计要求选择器件。
(本实验一律选用EP1K10TC100-3)图1-1-7图中的下方有一个“Show Only Fastest Speed Grades”选项,如果有“Device”选项中没有你需要的芯片,可以选取这个选项,这样就会出现所有的芯片的选择项目。
(四)对文件进行编译点击“File\Project\Set Project to Current File”选项,设置为当前文件。
启动MAX+PLUS II\COMPILER菜单,按START开始编译,并显示编译结果,生成.sof,.pof 文件,以备硬件下载和编程时调用。
同时生成.rpt文件,可详细查看编译结果。
如图1-1-8。
图1-1-8(五)管脚的重新分配,定位启动MAX+PLUSII\Floorplan Editor 菜单命令,出现如图1-1-9所示的画面:图1-1-9Floorplan Editor 显示该设计项目的管脚分配。
这是由软件自动分配的。
用户可随意改变管脚分配。
管脚编辑过程如下:<1> 按下,所有输入、输出口都会出现在Unassigned Nodes栏框内。
<2> 用鼠标按住某输入/输出口名称,并拖到下面芯片的某一管脚上,松开鼠标左键,便完成一个管脚的分配。
注意:芯片上有一些特定功能管脚,进行管脚编辑时一定要注意。
另外,在芯片选择中,如果选Auto,则不允许对管脚进行再分配。
管脚分配之后,要再编译一次,将管脚分配信息加入下载文件中。
(六)电路板上的连线根据管脚分配图,将EP1K10TC100-3的输入输出管脚与实验箱的各器件相连。
(七)器件的下载编程<1> 启动Max+plus II\Programmer菜单。
<2> 选择JTAG\M ulti-Device JTAG Chain菜单项。
<3> 启动JTAG\Multi-Device JTAG Chain Setup…菜单项。
<4> 点击Select programmimg File…按扭,选择要下载的.sof 文件。
然后按Add加到文件列表中。
<5> 如果不能正确下载,可点击Detect JTAG Chain Info an 按扭进行测试,查找原因。
最后按OK退出。
<6>按configure按扭完成下载。
六、实验连线用拨码开关的低三位代表译码器的输入(A,B,C),将之与EP1K10TC100-3的管脚相连;用LED灯来表示译码器的输出(D0~D7),将之与EP1K10TC100-3芯片的管脚相连。
拨动拨档开关,可以观察发光二极管与输入状态的对应关系同真值表中所描述的情况是一致的。
七、实验报告与思考题1、详细论述实验步骤,描述实验结果。
2、在输入端加入使能端后应如何设计。
3、设计与T1138功能类似的数据分配器。
附:用硬件描述语言完成译码器的设计:(1)生成设计项目文件。
(2)启动File\New菜单命令,如图1-1-10:图1-1-10(3)选择Text Editor file,点击OK。
(4)键入程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY T2 ISPORT(A: IN STD_LOGIC_VECTOR(2 DOWNTO 0);Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END T2;ARCHITECTURE A OF T2 ISBEGINWITH A SELECTY <= "00000001" WHEN "000","00000010" WHEN "001","00000100" WHEN "010","00001000" WHEN "011","00010000" WHEN "100","00100000" WHEN "101","01000000" WHEN "110","10000000" WHEN OTHERS;END A;(5)存成.vhd文件(注意文件名要与实体名一致),然后进行编译即可。
其他都与原理图输入相同。
实验二组合电路设计一、实验目的1、掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3、加深FPGA设计的过程,并比较原理图输入和文本输入的优劣。
二、实验主要仪器与设备1、输入:按键开关(常高)4个;拨码开关4位。
2、输出:LED灯。
4、主芯片:EP1K10TC100-3。
三、实验内容及原理1、四舍五入判别电路,其输入为8421BCD码,要求当输入大于或等于5时,判别电路输出为1,反之为0。
原理图如图1-2-1。
图1-2-12、设计四个开关控制一盏灯的逻辑电路,要求合任一开关,灯亮;断任一开关,灯灭。
原理图如图1-2-2。
图1-2-23、设计一个优先权排队电路,排队顺序:A=1为最高优先级;B=1为次高优先级;C=1为最低优先级。
要求输出端最高只能有一端为“1”,即只能是优先级较高的输入端所对应的输出端为“1”。
原理图如图1-2-3所示。
4、1-2-3。
图1-2-3四、预习要求做实验前必须认真复习数字电路中组合逻辑电路设计的有关内容(组合电路的设计方法、加法器的设计、三人表决器的设计等)。
五、实验步骤三个实验项目的实验步骤均同实验一。
六、实验连线1、输入信号D3,D2,D1,D0对应的管脚接四个拨码开关;输出信号OUT对应的管脚接LED灯。
2、输入信号K1,K2,K3,K4对应的管脚接四个按键开关;输出信号OUT对应的管脚接LED灯。
拨动按键开关,当按下奇数个按键时,灯亮;当按下偶数个按键时,灯灭。
3、输入信号A、B、C对应的管脚连三个按键开关;输出信号A-OUT,B-OUT,C-OUT对应的管脚分别连三个LED灯。
拨动拨码开关或者按下按键开关,观察LED灯,与实验内容是否相符。
七、实验报告与思考题1、写出实验步骤和实验结果。
2、写对于两种硬件设计输入法的比较。
附:用硬件描述语言(VHDL)完成3个实验项目的设计:(1)Library IEEE;Use IEEE.std_logic_1164.all; Entity t2_1 is port(D:In std_logic_vector(3 downto 0);Y:Out std_logic);end t2_1;Architecture struct of t2_1 isbeginprocess(D)beginif (D>="0101" and D<="1001") then Y<='1';elsif D<="0101" thenY<='0';elseY<='Z';end if; end process; end;(2)Library IEEE;Use IEEE.std_logic_1164.all;Entity t2_2 isport(D:In std_logic_vector(3 downto 0); Y:Out std_logic);end t2_2;Architecture struct of t2_2 isbeginprocess(D)begincase D iswhen "0000"=>Y<='0';when "0001"=>Y<='1';when "0011"=>Y<='0';when "0010"=>Y<='1';when "0110"=>Y<='0'; when "0111"=>Y<='1'; when "0101"=>Y<='0'; when "0100"=>Y<='1'; when "1100"=>Y<='0'; when "1101"=>Y<='1'; when "1111"=>Y<='0'; when "1110"=>Y<='1'; when "1010"=>Y<='0'; when "1011"=>Y<='1'; when "1001"=>Y<='0'; when "1000"=>Y<='1'; when others=>Y<='Z'; end case;end process;end;(3)Library IEEE;Use IEEE.std_logic_1164.all;Entity t2_3 isport(A,B,C:In std_logic;Y:Out std_logic_vector(2 downto 0)); end t2_3;Architecture struct of t2_3 isbeginprocess(A,B,C)beginif A='1' thenY<="100";elsif B='1' thenY<="010";elsif C='1' thenY<="001";else Y<="000";end if;end process; end;实验三触发器功能模拟一、实验目的1、掌握触发器功能的测试方法。