数字频率计的设计,需要请下载
- 格式:doc
- 大小:396.00 KB
- 文档页数:33
某某某某大学某某某某学院电子课程设计姓名张三学号00000000000 年级XX级专业电子信息工程题目简易数字频率计的设计(含电源)指导老师李四上交时间XXXX-6-11【课题名称】:数字频率计设计目的①掌握数字频率计的设计、组装与调试方法。
②了解频率测量与周期测量的基本原理及减小误差的方法。
1.设计内容与要求①简易数字频率计的主要技术指标:测量频率范围0000Hz~9999Hz;数字显示位数4位数字显示;频率测量准确度Δfx/fx≤±15%;测量时间t≤1.5s;被测信号幅度Uzm=5V(正弦波,方波,三角波)。
②设计频率计相应的单元电路:可控制的计数、锁存、译码显示系统;设计频率计的整机电路并画出框图和总电路图。
③组装、调试单元电路和整机系统。
④测试系统的性能指标。
⑤总结报告。
2.数字频率计的基本原理⑴数字频率计测频的基本原理数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。
它不仅可以测量正弦波,方波,三角波和类似脉冲信号的频率,而且还可以测量它们的周期。
数字频率计在测量其他物理量如转速,振动频率等方面获得广泛应用。
众所周知,所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。
若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T因此,数字频率计测频率时的原理框图如下。
其中脉冲形成电路的作用是:将被测信号变成脉冲信号,其重复频率等于被测频率fx。
时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间也准确的等于1s。
闸门电路由标准的秒信号进行控制,当秒信号来到时,闸门开通被测脉冲信号通过闸门送到计数译码显示电路。
秒信号结束时闸门关闭,计数器停止计数。
由于计数器计得的脉冲数N为在1s时间内的累计数,所以被测信号的频率fx =N Hz。
下面介绍结构较为简单的两位数字式频率计,电路组成如图所示。
它是由两位计数器、控制闸门、秒时基发生电路和被测信号输入电路组成。
河南科技大学课程设计说明书课程名称现代电子系统设计题目简易数字频率计设计学院__电信学院_____班级_______学生姓名____________________指导教师_________日期__2010-01-10______课程设计任务书(指导教师填写)课程设计名称现代电子系统课程设计学生姓名刘轮辉专业班级电信科071 设计题目简易数字频率计设计一、课程设计目的掌握高速AD的使用方法;掌握频率计的工作原理;掌握GW48_SOPC实验箱的使用方法;了解基于FPGA的电子系统的设计方法。
二、设计内容、技术条件和要求设计一个具有如下功能的简易频率计。
(1)基本要求:a.被测信号的频率范围为1~20kHz,用4位数码管显示数据。
b.测量结果直接用十进制数值显示。
c.被测信号可以是正弦波、三角波、方波,幅值1~3V不等。
d.具有超量程警告(可以用LED灯显示,也可以用蜂鸣器报警)。
e.当测量脉冲信号时,能显示其占空比(精度误差不大于1%)。
(2)发挥部分a.修改设计,实现自动切换量程。
b.构思方案,使整形时,以实现扩宽被测信号的幅值范围。
三、时间进度安排布置课题和讲解:1天查阅资料、设计:4天实验:3天撰写报告:2天四、主要参考文献何小艇《电子系统设计》浙江大学出版社2008.1潘松黄继业《EDA技术实用教程》科学出版社2006.10指导教师签字:2009年12月14日目录一、摘要 (4)二、系统方案论证 (4)2.1频率测量方案 (5)三、数字频率频率计的基本原理 (6)四、各个模块设计 (7)4、1 A/D模数转换模块 (8)4、2 比较模块 (9)4、3 频率和占空比测量模块 (10)五、各个模块仿真波形 (12)六、心得体会 (14)七、参考文献 (15)附录一 (16)附录二 (22)一.摘要频率计是数字电路中的一个典型应用,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,频率测量在科技研究和实际应用中的作用日益重要。
数字频率计的设计频率计是常用的测量仪器,它通过对单位时间内的信号脉冲进行计数,从而测量出信号的频率。
设计一个6位频率计,可以测量1~999 999 Hz 的信号频率。
频率计工作时,先要产生一个计数允许信号即闸门信号,闸门信号的宽度为单位时间,例如1 s 或100 ms 。
在闸门信号有效的时间内对被测信号计数,即为信号频率。
测量过程结束,需要锁存计数值或留出一段时间显示测量值。
下一次测量前,应该对计数器清零。
频率计闸门时序如图所示。
计数允许信号计数清零信号显 示清零计数频率计闸门时序CLK RST ENACOUNT[3..0]OUTY CNT 10A CLK RST ENACOUNT[3..0]OUTY CNT 10A CLK RST ENACOUNT[3..0]OUTY CNT 10A CLK RST ENACOUNT[3..0]OUTY CNT 10A CLK RST ENACOUNT[3..0]OUTY CNT 10A CLK RST ENACOUNT[3..0]OUTY CNT 10ALOADRST_ONTCNT_ENCLK TESTCTLINPUT1 HzV C C FXDIN[3..0]LOAD DOUT[3..0]REG 4BDIN[3..0]LOAD DOUT[3..0]REG 4BDIN[3..0]LOAD DOUT[3..0]REG 4BDIN[3..0]LOAD DOUT[3..0]REG 4BDIN[3..0]LOAD DOUT[3..0]REG 4BDIN[3..0]LOAD DOUT[3..0]REG 4BCLK_SCAN NO1_BCD[3..0]NO2_BCD[3..0]NO3_BCD[3..0]NO4_BCD[3..0]NO5_BCD[3..0]NO6_BCD[3..0]EN SEL[2..0]DOUT[6..0]OUTPUT SCAN6AOUT2OUTPUT OUT1[2..0]OUTPUTOUT0[6..0]INPUT 1 kHz INPUT V C CV C C数字频率计的顶层图测频控制信号发生器(TESTCTL)的程序如下。
数字频率计课程设计引言数字频率计是一种用来测量波形信号频率的仪器。
在本次课程设计中,我们将设计并实现一个基于微控制器的数字频率计。
在设计过程中,我们将使用Arduino开发板以及相应的传感器和电路组件。
本文档将介绍该课程设计的目标、设计思路、实现步骤以及预期的结果。
目标本次课程设计的目标是通过设计一个数字频率计来实现以下功能: 1. 测量输入的波形信号的频率。
2. 将测量结果以数字形式在液晶显示屏上显示。
设计思路1.硬件设计:•使用Arduino开发板作为主控制器。
•使用一个脉冲传感器作为输入信号源。
•使用一个液晶显示屏来显示测量结果。
2.软件设计:•使用Arduino编程语言编写程序。
•通过读取脉冲传感器的信号来计算输入信号的频率。
•将计算得到的频率值通过串口传输给液晶显示屏。
实现步骤1.硬件连接:•将脉冲传感器的输出引脚连接到Arduino开发板的数字输入引脚。
•将液晶显示屏的控制引脚连接到Arduino开发板的对应输出引脚。
2.软件编程: ```c // 引入LiquidCrystal库 #include<LiquidCrystal.h>// 定义液晶显示屏的引脚 LiquidCrystal lcd(12, 11, 5, 4, 3, 2);// 定义脉冲传感器的引脚 int pulsePin = 7;// 定义变量存储频率值 float frequency = 0;void setup() { // 初始化液晶显示屏 lcd.begin(16, 2);// 设置脉冲传感器引脚为输入状态 pinMode(pulsePin, INPUT);// 设置波特率为9600 Serial.begin(9600); }void loop() { // 定义变量存储脉冲计数值 int pulseCount = 0;// 计算脉冲计数值 while (pulseCount < 1000) { if (digitalRead(pulsePin) == HIGH) { pulseCount++; delayMicroseconds(100); } }// 计算频率值 frequency = pulseCount / 1000.0;// 在串口上发送频率值 Serial.println(frequency);// 清除液晶屏内容 lcd.clear();// 在液晶屏上显示频率值 lcd.setCursor(0, 0); lcd.print(。
根据系统设计要求, 需要实现一个 4 位十进制数字频率计, 其原理框 图如图 1 所示。
主要由脉冲发生器电路、 测频控制信号发生器电路、 待测 信号计数模块电路、 锁存器、 七段译码驱动电路及扫描显示电路等模块组 成。
由于是4位十进制数字频率计, 所以计数器CNT10需用4个,7段显示译 码器也需用4个。
频率测量的基本原理是计算每秒钟内待测信号的脉冲个 数。
为此,测频控制信号发生器 F_IN_CNT 应设置一个控制信号时钟CLK , 一个计数使能信号输出端EN 、一个与EN 输出信号反 向的锁存输出信号 LOCK 和清零输出信号CLR 。
若CLK 的输入频率为1HZ ,则输出信号端EN 输出 一个脉宽恰好为1秒的周期信号, 可以 作为闸门信号用。
由它对频率计的 每一个计数器的使能端进行同步控制。
当EN 高电平时允许计数, 低电平时 住手计数,并保持所计的数。
在住手计数期间,锁存信号LOCK 的上跳沿 将计数器在前1秒钟的计数值锁存进4位锁存器LOCK ,由7段译码器译出 并稳定显示。
设置锁存器的好处是: 显示的数据稳定, 不会由于周期性的标准时钟 CLKEN待测信号计数电路脉冲发 生器待测信号F_INLOCK锁存与译 码显示驱 动电路测频控制信 号发生电路CLR扫描控制数码显示清零信号而不断闪烁。
锁存信号之后,清零信号CLR对计数器进行清零,为下1秒钟的计数操作作准备。
时基产生与测频时序控制电路主要产生计数允许信号EN、清零信号CLR 和锁存信号LOCK。
其VHDL 程序清单如下:--CLK_SX_CTRLLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CLK_SX_CTRL ISPORT(CLK: IN STD_LOGIC;LOCK: OUT STD_LOGIC;EN: OUT STD_LOGIC;CLR: OUT STD_LOGIC);END;ARCHITECTURE ART OF CLK_SX_CTRL ISSIGNAL Q: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF(CLK'EVENT AND CLK='1')THENIF Q="1111"THENQ<="0000";ELSEQ<=Q+'1';END IF;END IF;EN<=NOT Q(3);LOCK<=Q(3)AND NOT(Q(2))AND Q(1);CLR<=Q(3)AND Q(2)AND NOT(Q(1));END PROCESS;END ART;测频时序控制电路:为实现系统功能,控制电路模块需输出三个信号:一是控制计数器允许对被测信号计数的信号EN;二是将前一秒计数器的计数值存入锁存的锁存信号LOCK;三是为下一个周期计数做准备的计数器清零信号CLR。
模拟电子技术电路设计仿真作业简易数字频率计1.问题的重述数字频率既是一种十进制数字显示被测信号频率的数字测量仪器,它的基本功能是测量正弦信号、方波信号、尖脉冲信号以及其他各种单位时间内变化的物理量,因此,它的用途十分广泛。
2. 频率计电路分析及设计设计要求:1.测量范围:0~9999Hz2.最大读数9999Hz,闸门信号的采样时间为1s3.采用4位数码显示4.输入信号最大幅值可以扩展设计原理:所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。
若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。
数字频率计测量频率的原理框图如下图。
其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率。
时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确的等于1s。
闸门电路由标准秒信号进行控制,当秒信号到来时,闸门开通,被测脉冲信号通过闸门送到级数译码显示电路。
秒信号结束时闸门关闭,计数器停止计数。
由于计数器记得的脉冲数N是在1s时间内的累计数,所以被测信号ui的频率为NHz。
脉冲形成电路脉冲形成电路是555电路构成的施密特触发器。
为了扩展被测信号的频率范围,输入信号u i先经过限幅器,再经过施密特触发器整形,当输入信号幅值较小时,限幅器的二极管截止,不起限幅作用。
图中电阻R3和R4的作用是将被测信号进行电平移动,因为555构成的施密特触发器的上触发电平U T+=(2/3)U CC,下触发电平U T−=(1/3)U CC。
输入信号的直流电平U IO应满足下列关系:(1/3)U CC<U IO<(2/3)U CC。
输入信号的幅度U im与直流电平幅度U IO和回差∆U T有关,一般来说,∆U T越小,对输入信号的幅度U im要求越小。
若取+U CC=+5V,则回差∆U T=1.67V。
若取U IO=2.5V,则取R3=R4=10kΩ,则输入信号的幅度U im=0.83V。
简易数字频率计课程设计报告《简易数字频率计课程设计报告》一、设计目的和背景随着科技的不断发展和普及,计算机已经成为人们生活中不可或缺的一部分。
而数字频率计作为一种常见的电子测量仪器,在工业控制、电信通讯等领域有着广泛的应用。
本课程设计旨在通过设计一款简易的数字频率计,以帮助学生深入了解数字频率计的工作原理和设计方法。
二、设计内容和步骤1. 学习数字频率计的基本原理和工作方式:介绍数字频率计的基本功能、硬件组成和工作原理。
2. 设计数字频率计的主要电路:通过研究数字频率计的电路原理图,设计出适用于本设计要求的主要电路。
3. 制作数字频率计的原型:使用电子元器件将电路图中设计的电路进行实际制作,制作出数字频率计的原型。
4. 测试数字频率计的性能:通过对数字频率计进行各种频率波形的测试,验证其测量准确性和稳定性。
5. 优化和改进设计:根据测试结果和用户反馈,对数字频率计的电路和功能进行进一步优化和改进。
三、预期效果和评价标准通过本课程设计,预期学生能够掌握数字频率计的基本工作原理、主要电路设计和制作方法,并且能够针对实际需求进行优化和改进。
评价标准主要包括学生对数字频率计原理的理解程度、电路设计的准确性和创新性,以及对数字频率计性能进行测试和改进的能力。
四、开展方式和时间安排本课程设计可以结合理论学习和实践操作进行,建议分为以下几个阶段进行:1. 第一阶段(1周):学习数字频率计的基本原理和工作方式。
2. 第二阶段(1周):设计数字频率计的主要电路。
3. 第三阶段(2周):制作数字频率计的原型,并进行性能测试。
4. 第四阶段(1周):优化和改进数字频率计的设计。
总共需要约5周的时间来完成整个课程设计。
五、所需资源和设备1. 教材教辅资料:提供数字频率计的基本原理和电路设计方法的教材或教辅资料。
2. 实验设备和工具:数字频率计的主要电路所需的电子元器件、测试仪器和焊接工具等。
3. 实验环境:提供安全、稳定的实验室环境,以及必要的计算机软件支持。
目录第一章概述1.1 数字频率计功能及特点1.2 数字频率计应用意义第二章设计方案2.1 设计指标与要求2.2 设计原理2.3方案论证第三章数字频率计分析及参数设计3.1 电路基本原理3.2 时基电路设计3.3闸门电路设计3.4控制电路设计3.5 小数点显示电路设计3.6 整体电路图第四章设计总结4.1 整体电路图4.2 元器件列表4.3 设计心得与体会4.4 附录4.5 参考文献第一章、概述数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。
它不仅可以测量正弦波、方波、三角波、尖脉冲信号和其他具有周期特性的信号的频率,而且还可以测量它们的周期。
经过改装,可以测量脉冲宽度,做成数字式脉宽测量仪;可以测量电容做成数字式电容测量仪;在电路中增加传感器,还可以做成数字脉搏仪、计价器等。
因此数字频率计在测量其他物理量如转速、振动频率等方面获得广泛应用。
1.1 整体功能及特点1,频率计主要用于测量正弦波、矩形波、三角波和尖脉冲及其它各种周期信号。
2,测量信号复制范围0.5-5v3,显示方式:四维十进制LED显示4,测量范围:1HZ-10HZ5,测量误差:≤±0.1%6,自动检测切换量程1.2 数字频率计应用意义数字频率计是一种应用很广泛的仪器电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路。
数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。
集成电路的类型很多,从大的方面可以分为模拟电路和数字集成电路2大类。
数字集成电路广泛用于计算机、控制与测量系统,以及其它电子设备中。
一般说来,数字系统中运行的电信号,其大小往往并不改变,但在实践分布上却有着严格的要求,这是数字电路的一个特点。
数字集成电路作为电子技术最重要的基础产品之一,已广泛地深入到各个领域。
第二章设计方案2.1 设计指标与要求2.1.1 设计指标1,频率计主要用于测量正弦波、矩形波、三角波和尖脉冲及其它各种周期信号。
课程设计任务书设计题目:数字频率计设计设计内容与要求:设计内容:设计一个数字频率计,测频范围1HZ至1KHZ。
要求:1、设计控制器,控制计数器计数和锁存器锁存计数值。
2、设计计数器,用于对待测信号计数。
3、设计锁存器,用于保存计数器的计数结果。
4、设计除法器,用于计算待测信号的频率。
5、设计顶层电路,实现对1HZ至1KHZ的输入信号进行频率测量。
指导教师:邹红文2014 年12月1日课程设计评语成绩:指导教师:_______________年月日目录第一章设计控制器 (1)1.1控制器程序 (1)1.2波形图 (2)第二章设计计数器 (3)2.1计数器程序 (3)2.2波形图 (4)第三章设计锁存器 (5)3.1锁存器程序 (5)3.2波形图 (6)第四章设计除法器 (7)4.1除法器程序 (7)4.2波形图 (8)第五章设计顶层电路 (9)5.1顶层电路图 (9)5.2仿真波形图 (10)总结 (11)第一章设计控制器1.1控制器程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FTCTRL ISPORT(CLK1:IN STD_LOGIC;CNT_EN,RST_CNT:OUT STD_LOGIC;LOAD:OUT STD_LOGIC);END FTCTRL;ARCHITECTURE BEHAV OF FTCTRL ISSIGNAL DIV2CLK:STD_LOGIC;BEGINPROCESS(CLK1) BEGINIF CLK1'EVENT AND CLK1='1' THEN DIV2CLK<=NOT DIV2CLK; END IF;END PROCESS;PROCESS (CLK1,DIV2CLK) BEGINIF CLK1='0' AND DIV2CLK='0' THEN RST_CNT<='1';ELSE RST_CNT<='0'; END IF;END PROCESS;LOAD<=NOT DIV2CLK; CNT_EN<=DIV2CLK;END BEHAV;1.2波形图图1-1 仿真波形图当接收到CLK上升沿时钟信号的时候,CNT_EN、LOAD为原信号取反。
3数字频率计的设计设计要求1.设计一个能测量方波信号频率的频率计,测量结果用十进制数显示。
2. 测量的频率范围是1~10KHz,分成两个频段,即1~999Hz,1KHz~10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。
3. 具有自动校验和测量两种功能,即能用标准时钟校验测量精度。
4. 具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。
设计提示●脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为f=N/T,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。
所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。
●测量/校验选择模块*●计数器模块●送存选择报警模块●锁存模块●扫描显示模块●测量/校验选择模块*输入信号:选择信号selet被测信号meas测试信号test输出信号:CP1当selet=0时,为测量状态,CP1=meas;当selet=1时,为校验状态,CP1=test。
校验与测量共用一个电路,只是被测信号CP1不同而已。
●设置1秒定时信号(周期为2秒),在1秒定时时间内的所有被测信号送计数器输入端。
●计数器对CP1信号进行计数,在1秒定时结束后,将计数器结果送锁存器锁存,同时将计数器清零,为下一次采样测量做好准备。
●设置量程档控制开关K,单位显示信号Y,当K=0时,为1~999Hz量程档,数码管显示的数值为被测信号频率值,Y显示绿色,即单位为Hz;当K=1时,为1KHz~10KHz量程档,被测信号频率值为数码管显示的数值乘1000,Y显示红色,即单位为KHz。
●设置超出量程档测量范围示警信号alert。
计数器由四级十进制计数构成(带进位C)。
若被测信号频率小于1KHz(K=0),则计数器只进行三级十进制计数,最大显示值为999.Hz,如果被测信号频率超过此范围,示警信号驱动灯光、扬声器报警;若被测信号为1KHz~10KHz(K=1),计数器进行四位十进制计数,取高三位显示,最大显示值为9.99KHz,如果被测信号频率超过此范围,报警。
沈阳工程学院课程设计(论文)摘要本次课程设计的目的是以基于VHDL语言设计的数字频率计,并且在MAXPLUS中进行仿真。
设计一个3位十进制数字显示的数字式频率计,频率测量范围在1MHZ内。
量程分别10KHZ,100KHZ,1MHZ三个挡,可以自动根据被测频率大小调节选通时间,自动换挡。
具有3位十进制数码管显示功能,显示所测频率值。
整体性能较强并具有很好的可靠性。
关键词数字频率计,自动换挡,数字显示-I-沈阳工程学院课程设计(论文)AbstractThis design method use EDA tool as a development means, according to VHDL language design of numeral the frequency account.Outside establish simple, have 3 ten enter make numeral manifestation, frequency measure the scope is in the 1 MHZ.Quantity distance difference 10 KHZ, 100 KHZ, 1 MHZ 3 block, can auto according to is measured frequency size to regulate to choose for a time, auto change to block.Have 3 ten enter make a figures tube manifestation function, the frequency that manifestation measure value.The whole function is stronger to combine to have good credibility.Keywords numeral the frequency account, auto change to block, numeral manifestation.-II-沈阳工程学院课程设计(论文)目录摘要 (I)Abstract (II)第1章引言 (1)1.1 VHDL介绍 (1)1.2 频率计设计的基本要求 (1)1.3 频率计设计的基本原理描述 (2)第2章系统设计 (3)2.1 频率计设计的基本方案 (3)2.2 数字频率计层次设计 (3)2.2.1 分频模块的设计 (3)2.2.2 计数模块的设计 (4)2.2.3 选通时间控制模块设计 (6)2.2.4 动态扫描模块设计 (7)2.2.5 七段数码管显示模块的设计 (9)第3章数字频率计源程序及其仿真 (11)3.1 程序清单 (11)3.2 系统连接框图 (12)结论 (14)致谢 (15)参考文献 (16)附录 (17)-III-沈阳工程学院课程设计(论文)第1章引言1.1VHDL介绍VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成电路硬件描述语言)诞生于1982年,是由美国国防部开发的一种快速设计电路的工具,目前已经成为IEEE(The Institute of Electrical and Electronics Engineers)的一种工业标准硬件描述语言。
相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(Top to Down)和基于库(LibraryBased)的设计的特点。
从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体CPLD器件中,从而实现可编程的专用集成电路(ASIC)的设计。
数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。
随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA工具作为开发手段,运用VHDL语言。
将使整个系统大大简化。
提高整体的性能和可靠性。
1.2频率计设计的基本要求根据频率计的测频原理,按照测频量程需要,选择合适的时基信号即闸门时间,对输入被测信号进行计数,实现测频的目的。
具有3位十进制数字显示,起频率测量范围在1MHZ内。
量程分为10KHZ,100KHZ,1MHZ三挡,即最大读书分别为9.99KHZ,99.9KHZ和999KHZ。
这里要求量程能够自动转换,具体要求:当读书大于999是,频率计处于超量程的状态,下一次测量时,量程自动增大1挡。
当读数小于099时,频率计处于欠量程状态,下一次测量时,量程自动减小1挡。
当超过频率测量范围时,显示器显示出溢出。
采用记忆显示方式,显示时间不短-1-沈阳工程学院课程设计(论文)于2秒。
小数点位置随量程变化自动移动位置。
增加测周期功能(量程分别为1ms,10ms,100ms三挡,即最大读数为9.9ms,9ms,99.9ms和999ms)。
1.3频率计设计的基本原理描述将被测信号通过整形电路,产生同频率的矩形波;计数部分根据所提供的矩形拨的上升沿进行计数,计数时间则由选通时间控制部分决定,根据频率所处的范围来决定档位;将计数的结果输出给扫描显示电路,通过扫描,在数码管上显示频率的大小。
测量范围:1KHZ---1MHZ;可以自动调节选通时间,自动换挡。
挡位选通时间1.00KHZ---9.99KHZ 0.1S10.0KHZ---99.9KHZ 0.01S100KHZ---1MHZ 0.001S图1.1 测频原理框图-2-沈阳工程学院课程设计(论文)第2章系统设计2.1频率计设计的基本方案其中控制的核心器件是CPLD,它由两大功能模块组成。
其一是频率计数模块,它包括两个部分:选通时间控制部分,可改变选通时间;计数部分,根据选通时间的长短对被测信号正脉冲进行计数。
其二是扫描显示模块,对计数的结果进行扫描显示,从而完成整个频率的测量和显示过程。
图2.1 频率计的系统框图2.2数字频率计层次设计2.2.1分频模块的设计当收到使能信号, 分频器启动, 将标准1 kHz 信号经3 次10 分频, 得到100 Hz, 10 Hz, 1 Hz 信号。
1 Hz 信号既作为开关控制的时钟信号, 也作为显示电路自锁存器的取样时钟(即锁存器的输出使能信号)。
1 kHz, 100 Hz,10 Hz-3-沈阳工程学院课程设计(论文)信号作为计数器闸门信号来控制计数, 以实现不同量程。
分频部分程序见附录:仿真波形和模块示意图如(图2.3,图2.4)图2.2 分频模块仿真图图2.3 分频模块仿真图2.2.2计数模块的设计计数模块在闸门时间内对被测信号进行计数, 从低位ONE到高位HUN,以十进制计数,最高计到999;计数溢出,换挡重计;当所测频率超过测量范围,则OV=‘1’,使输出始终清零。
并根据被测输入信号的频率范围自动切换量程, 控制小数点显示位置。
计数部分程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count10 isport(clk,clr,en:in std_logic;-4-沈阳工程学院课程设计(论文)cq:out std_logic_vector(3 downto 0);carry_out:out std_logic);end count10;architecture func of count10 issignal temp: std_logic_vector(3 downto 0);beginprocess(clk,clr,en)beginif clr='1' then temp<="0000";elsif clk'event and clk='1' thenif en='1'thenif temp<"1001" then temp<=temp+1;else temp<="0000";end if;end if;end if;end process;process(temp)beginif temp="1001" then carry_out<='1';else carry_out<='0';end if;end process;cq<=temp;end func;仿真波形和模块示意图如(图2.4,图2.5)-5-沈阳工程学院课程设计(论文)-6-图2.4 计数模块仿真图图2.5 计数模块示意图2.2.3 选通时间控制模块设计当计数到999时,由一个overflow 正脉冲触发依次,change 从状态“00”,“01”,“10”换档。
cout:process(res,overflow)beginif res='1' thenstate<="000";ov<='0';change<="00";elsif(overflow'event and overflow='1')thencase state iswhen "000"=>state<="001";change<="01";when "001"=>state<="010";change<="10";沈阳工程学院课程设计(论文)when "010"=>state<="011";change<="11";when others=>state<="011";change<="00";ov<='1';end case;end if;end process cout;当CHANGE对应不同状态时limit_cnt的不同取值,大小将决定下一个选通时间。
begincase state iswhen"000"=>limit_cnt<=1000000;when"001"=>limit_cnt<=100000;when others=>limit_cnt<=10000;end case;end process limit;2.2.4动态扫描模块设计将计数输出的ONE,TEN,THO信号通过数码管输出。