当前位置:文档之家› 数字电路基础知识

数字电路基础知识

数字电路基础知识
数字电路基础知识

电工电子技术及应用教案(9-1)

【课题编号】

××-09-01

【课题名称】

数字电路基础知识

【教学目标】

应知:

1.了解数字电路的特点;了解晶体管的开关特性;

2.掌握数字电路常用数制及其相互转换;

3.了解8421BCD码的表示形式。

应会:

会进行二进制、十进制、十六进制数的相互转换。

【教学重点】

数字电路的特点;常用的数制与码制。

【难点分析】

晶体管的开关特性;数制间的相互转换。

【学情分析】

由本节进入数字电路的学习,选用多媒体动画展示数字电路在通信技术、自动控制和测量仪表等技术领域中的应用,引入课题,通过阐述数字电路的特点让学生明确本章内容所研究的对象特点,由多媒体课件演示晶体管的开关特性,增强学生的感性认识。通过举例分析,帮助学生了解数制和码制的概念,掌握二进制、十六进制、十进制数间的转换。

【教学方法】

讲练法、对比法、演示法

【教具资源】

多媒体课件

【课时安排】

2学时(90分钟)

【教学过程】

一、导入新课

【多媒体演示】数字电路的应用

联系生活实际,引导同学们关注数字电路在通信技术、自动控制、测量仪表和日常生活领域中的应用。

二、讲授新课

教学环节1:数字信号及数字电路的特点

1.数字信号

教师活动:投影模拟信号和数字信号的波形,引导学生观察分析;

学生活动:观察模拟信号和数字信号的波形,比较它们的不同,分析数字信号的特点;

教师活动:总结,指出数字电路的特点。

2.晶体管的开关特性

【多媒体演示】二极管、三极管的开关特性

教师活动:展示二极管、三极管做开关使用的动画;

学生活动:观察二极管导通、截止;三极管饱和、截止时相当于的“开关”作用,体会数字信号形成的过程。

教学环节2:数制和码制

1.数制

教师活动:举例说明十进制、二进制的十六进制,介绍二进制数和十进制数相互转换的规律;

学生活动:巩固练习二进制数、十六进制和十进制数的相互转换。

2.码制

教师活动:举例说明码制,介绍十进制数和8421BCD码相互转换的规律;

学生活动:巩固练习十进制数和8421BCD码的相互转换。

三、课堂小结

二进制、十六进制数和十进制数的相互转换规律:

二、十六进制数转换为十进制数:数码乘权再相加;

十进制数转换为二、十六进制数:除基数(2、16)取余倒记法。

四、课堂练习

《学习指导与练习》;

五、课后作业

【板书设计】

【教学后记】

电工电子技术及应用教案(9-2)

【课题编号】

××-09-02

【课题名称】

逻辑门电路

【教学目标】

应知:

1.掌握与门、或门、非门等基本逻辑门符号、逻辑功能与表达式。

2.了解与非门、或非门、与或非门等复合逻辑门的逻辑功能及表示符号。

3.了解TTL门电路、CMOS门电路的型号及使用常识。

应会:

能识别各种逻辑门符号,会识别集成逻辑门引脚,会利用集成逻辑门连接所需逻辑电路。

【教学重点】

基本逻辑门电路的逻辑符号和逻辑功能;集成逻辑门的引脚识别及应用。

【难点分析】

TTL门电路、CMOS门电路的识别及使用。

【学情分析】

避开单纯的理论讲解,利用“做中教”让学生感知、归纳与门、或门和非门电路的逻辑功能,从而在此基础上通过“叠加”引出复合逻辑门电路,学生会轻松理解、掌握。通过实物展示让学生认识集成逻辑门电路并掌握芯片的使用。

【教学方法】

实验法、讲授法

【教具资源】

与、或、非逻辑门实验电路;集成逻辑门芯片。

【课时安排】

2学时(90分钟)

【教学过程】

一、导入新课

联系生活实际,教师引导学生列举符合与、或、非逻辑关系的实例,让学生感知所要学习的逻辑关系的重要应用。

二、讲授新课

教学环节1:与逻辑和与门电路

教师活动:“做中教”

学生活动:实验一,将一组电池、两个开关和一个小灯炮按电路图连接实物电路,分:两开关都断开、闭合A开关、闭合B开关、两开关都闭合四种情况,观察小灯炮亮与否。假设开关断开为0,闭合为1;灯灭为0,灯亮为1,将实验结果记录填表,归纳“与”的逻辑功能。

实验二,改换或门电路,同样分四种情况,记录实验结果填表,归纳“或”的逻辑功能。

实验三,改换非门电路,分别将开关打开、断开,记录实验结果填表,归纳“非”的逻辑功能。

教师活动:总结

(1)与门符号、与门表达式、与逻辑功能是“有0出0,全1出l”。

(2)或门符号、或门表达式、或逻辑功能是“全0出0,有1出1”。

(3)非门符号、非门表达式、非逻辑功能是“有0出1,有1出0”

教学环节2:复合逻辑门电路

教师活动:在基本逻辑门的基础上“叠加”引出各复合门电路。

学生活动:从“叠加”中认识与非、或非、与或非、同或、异或门等复合逻辑门电路的符号、表达式、逻辑功能。

教师活动:给出例题,通过例题引导学生将开关元件与数字电路的工作状态联系一起。

学生活动:通过例题,了解数字电路产生数字的过程。

教学环节3:集成逻辑门电路

教师活动:展示TTL、COMOS集成逻辑门芯片

学生活动:学习集成逻辑门芯片型号、引脚的识别及使用常识。

三、课堂小结

1.与、或、非门电路的逻辑符号、逻辑表达式和逻辑功能。基本逻辑门电路可以组成较复杂的复合逻辑门与非门、或非门等。

大类。使用集成数字电路产品时,要会查产品手册,掌握每个产品的逻辑功能、主要参数、引脚功能及引脚编号判别方法。

四、课堂练习

《学习指导与练习》

五、课后作业

【板书设计】

【教学后记】

电工电子技术及应用教案(9-3)

【课题编号】

03-09-03

【课题名称】

制作在三人表决电路

【教学目标】

应知:识读TTL与非门的引脚;

应会:会应用TTL与非门连接所需组合逻辑电路。

【教学重点】

组合逻辑电路的安装与测试。

【难点分析】

正确规范安装三人表决电路,完成设计要求。

【学情分析】

在学习基本逻辑门的基础上,利用“做中学”让学生在动手操作中学会识读集成逻辑门芯片,熟悉组合逻辑电路的安装与测试,将理论应用于实践,提高学生的操作技能。

【教学方法】

实训

【教具资源】

直流电源、74LS00芯片(二输入四与非门)、74LS10芯片(三输入三与非门)各1个,1k电阻3只,小指示灯1只。

【课时安排】

1学时(45分钟)

【教学过程】

一、操作部分

教师活动:指导学生三人表决电路的安装与测试。

学生活动:在教师指导下,

(1)识读74LS00、74LS10芯片引脚。

(2)按图连接电路。

图三人表决逻辑电路

(3)按ABC分别为000~111逻辑关系操作开关,观察并记录小指示灯亮的情况,绘制并填写真值表。

(4)验证表决逻辑电路功能:三人表决,少数服从多数。

二、课堂小结——注意事项

(1)实验时,芯片引脚要分清,不可接错;

(2)两芯片都需可靠接电源。

三、课后作业

1.撰写三人表决电路实验报告。

2.思考:如果是一场举重比赛,A、B、C三名裁判,A为主裁判。当两名以上裁判(必须包括主裁判A在内)认为运动员举重合格(按动电钮,发出合格信号)才算运动员举重成功。电路该怎样改动实现?【板书设计】

【教学后记】

电工电子技术及应用教案(9-4)

【课题编号】

××-09-04

【课题名称】

组合逻辑门电路

【教学目标】

应知:组合逻辑电路特点及典型组合逻辑电路(编码器、译码器、加法器)的基本功能。

1.理解组合逻辑电路特点;

2.了解典型组合逻辑电路(编码器、译码器、加法器)的功能与应用;掌握译码显示器的使用。

应会:会根据功能表正确使用典型集成编码器、典型集成译码器及典型集成译码显示器。

【教学重点】

典型集成编码器、典型集成译码器及典型集成译码显示器的认识及使用。

【难点分析】

对于编码、译码过程的理解。

【学情分析】

本节课的内容理论性强、概念新、学生接受较困难,利用“做中教”中的74LS147 功能测试电路,让学生体会优先编器的工作过程,利用“做中教”的74HC42功能测试电路,让学生理解译码过程,利用“做中教”的闪烁数码显示器,让学生明白显示译码器由显示译码集成电路(例74LS48)和显示器(例七段数码管)两部分组成,从而将抽象知识变直观现象,以促进学生的理解掌握。利用实物芯片展示让学生认识各种编码器、译码器、译码显示器、加法器,提高学生相关技能。

【教学方法】

讲授法、演示法

【教具资源】

仿真软件、各种编码器、译码器、译码显示器、加法器芯片

【课时安排】

2学时(90分钟)

【教学过程】

一、导入新课

联系生活实际中的电报、电子钟、计算器等引出本节编码、译码、数码显示、加法器等相关知识,激发学生的学习兴趣。

二、讲授新课

教学环节1:编码器

教师活动:由电报局用每组4个十进制数码代表一个汉字就是编码,引出编码概念,展示二—十进制编码示意图及74LS147芯片。

学生活动:领会编码概念,观察74LS147芯片,认识编码芯片的引脚功能。

教师活动:“做中教”演示74LS147 功能测试电路。

学生活动:利用测试电路

(1)当输入端全为高电平时观察输出情况;

(2)当输入只有一端为高电平时观察输出情况;

(3)当输入端有两个或两个以上为高电平时观察输出情况。记录观察结果并填表比较输出结果,讨论74LS147功能,体会优先权的意义。

教师活动:总结

(1)输入端、输出端均为低电平有效,即0表示有信号,1表示无信号。

(2)当输入数据为十进制0时,只需要将全部数据输入端接高电平即可。

(3)74LS147为优先编码器,即当输入端同时输入两个或两个以上有效信号时,只接受优先级别高的输入信号编码。其优先级别为9I 最高,其余依次为8I 、7I 、6I 、5I 、4I 、3I 、2I 、1I 、0I 。

教学环节2:译码器

教师活动:由译码是编码的逆过程引出译码器。

学生活动:由编码器逆向思维理解译码的概念。

教师活动:“做中教”演示74HC42功能测试电路。

学生活动:利用测试电路

(1)当输入端依次输入0000~1001时观察输出情况;

(2)当输入端依次输入1010~1111时观察输出情况,记录观察结果并填表,总结74HC147功能特点。

教师活动:总结

(1)输入端高电平有效、输出端为低电平有效。

(2)当输入数据0000~1001时,依次输出0Y ~9Y 十个信号,此时正常译码。

(3)当输入数据1010~1111六个超出10的无效状态时,输出皆为高电平,即74HC42集成电路能够自动拒绝伪码。

教学环节3:显示译码器

3.显示译码器

教师活动:“做中教”演示闪烁数码显示器,让学生明白显示译码器由显示译码集成电路(例74LS48)和显示器(例七段数码管)两部分组成。

学生活动:利用烁数码显示器电路

(1)当输入端DCBA 依次输入0000~1001观察显示情况;

(2)观察BI 控制端电平变化情况与数码管显示情况的关系。

教师活动:总结

(1)显示译码器由显示译码集成电路(例74LS48)和显示器(例七段数码管)两部分组成。

(2)显示译码集成电路的BI 为消隐控制端,1=BI 时译码器工作,0=BI 时译码器七段全部熄灭不工作,借此形成闪烁效果。

教学环节4:加法器

教师活动:讲授半加、全加器的概念,介绍全加器芯片。

学生活动:了解半加、全加器的概念及全加器芯片。

三、课堂小结

用基本逻辑门电路组合成的逻辑电路称为组合逻辑电路,简称组合电路。组合逻辑电路的特点是:任何时刻电路的输出状态直接由同一时刻的输入状态所决定,而与信号输入前的状态无关,即组合逻辑电路无记忆能力。常用的组合电路有编码器、译码器、加法器等。

四、课堂练习

《学习指导与练习》

五、课后作业

【板书设计】

【教学后记】

电工电子技术及应用教案(9-5)

【课题编号】

××-09-05

【课题名称】

时序逻辑电路(一)

【教学目标】

应知:

1.掌握时序逻辑电路特点;

2.理解各种类型触发器(基本RS 触发器、同步RS 触发器、JK 触发器、D 触发器)的图形符号和逻辑功能。

应会:

1.会搭接RS 触发器电子控制电路;

2.会使用JK 集成触发器。

【教学重点】

时序逻辑电路特点;

各种类型触发器的图形符号和逻辑功能。

【难点分析】

准确把握各种类型触发器的特点及应用。

【学情分析】

本节内容概念多且易混淆,利用“做中教”让学生首先感性理解基本触发器的特性,然后利用比较的方法引出其他类型触发器,学生易分清掌握。

【教学方法】

仿真实验法、比较法

【教具资源】

仿真软件

【课时安排】

2学时(90分钟)

【教学过程】

一、导入新课

由计算机电路中的“记忆、计数”等功能,及组合逻辑电路与时序逻辑电路的比较导入新课。

二、讲授新课

教学环节1:基本RS 触发器

教师活动:“做中教”,使用仿真软件演示基本RS 触发器电路。

学生活动:观察实验现象

(1)当输入端R 与S 分别为01、10、11,Q 初始状态分别为0、1时观察输出状态,记录观察结果并填表;

(2)比较输出结果,总结基本RS 触发器功能。

教师活动:总结

(1)电路的初始状态(原态)用n Q 表示,触发后的状态(次态)用1 n Q

表示。

(2)R 为置0端,S 为置1端,非符号表示低电平触发有效。

(3)=R 0,=S 0即两输入端同时为有效信号时,Q 与Q 同时被强迫为1,出现逻辑混乱,所以这种状态应当避免。

(4)选用触发器时,应了解电路结构形式和触发方式,认清置0端、置1端是低电平有效还是高电平有效。

教师活动:引入例题

学生活动:了解基本RS 触发器的实际应用。

(二)其他类型触发器

教师活动:对比引出其他类型触发器;

学生活动:对比掌握其他类型触发器(同步RS 触发器、JK 触发器和D 触发器等)的逻辑符号、逻辑功能及应用。

三、课堂小结

(1)时序逻辑电路是由具有记忆功能的触发器及构成组合逻辑电路的门电路构成的。时序逻辑电路的特点是:任何时刻电路的输出状态不仅与当时的输入状态有关,还与电路的前一个状态有关,即时序逻辑电路具有记忆功能。

(2)触发器是构成时序逻辑电路的基本单元,简单的触发器有基本RS 触发器、同步RS 触发器、JK 触发器和D 触发器等。

四、课堂练习

《学习指导与练习》

五、课后作业

【板书设计】

【教学后记】

电工电子技术及应用教案(9-6)

【课题编号】

××-09-06

【课题名称】

时序逻辑电路(二)

【教学目标】

应知:

1.典型时序逻辑电路——计数器的功能、特性及常见类型;

2.典型时序逻辑电路——寄存器的寄存过程及典型芯片。

应会:

会灵活应用典型集成计数器,会分析由其构成的应用电路的功能。

【教学重点】

典型时序逻辑电路的功能、特性及应用。

【难点分析】

典型时序逻辑电路的分析。

【学情分析】

本节内容较抽象,利用“做中教”让学生通过实验认识异步四位二进制、十进制计数器,将抽象的理论转换为形象的实验现象,学生易理解掌握。

【教学方法】

仿真实验法、比较法

【教具资源】

仿真软件、集成计数器、移位寄存器芯片

【课时安排】

2学时(90分钟)

【教学过程】

一、导入新课

由计算机电路中的计数、移位等功能及芯片展示,导入新课。

二、讲授新课

教学环节1:计数器

(一)异步二进制加法计数器

教师活动:“做中教”,用仿真软件展示异步四位二进制加法计数器功能测试电路。

学生活动:利用实验电路输入端加0.5Hz 时钟脉冲,

(1)当CR =1时,观察并记录0123Q Q Q Q 的输出情况,填表;

(2)在任意计数时刻,让CR =0时,观察并记录

0123Q Q Q Q 的输出情况。

(3)总结四位二进制加法计数器功能特点。

教师活动:总结 (1)当CR =1时,每来一个脉冲计数一次,0123Q Q Q Q 由0000变化到1111,计数十六次后,计数器又从初始状态0000开始计数。所以四位二进制计数器又称为十六进制计数器。

(2)每输入一个脉冲,就进行一次加1运算的计数器称为加法计数器,也称为递增计数器;相反,每输入一个脉冲,就进行一次减1运算的计数器称为减法计数器,也称为递减计数器。

(3)构成异步四位二进制加法计数器的四个JK 触发器的脉冲并非受同一CP 脉冲的控制,各触发器的翻转有先有后,所以称为异步计数器。如果各触发器都受同一个CP 脉冲控制,各触发器的翻转是同步的,则称为同步计数器。

(4)无论计数到何值,只要CR =0,输出0123Q Q Q Q 立刻为0000,即CR 为清零端。

学生活动:在教师的引导下,初步掌握异步四位二制加法计数器工作过程;

(二)异步十进加法计数器

教师活动:“做中教”,用仿真软件展示异步十进制加法计数器功能测试电路。

学生活动:利用实验电路,输入端加0.5Hz 时钟脉冲,

(1)当CR =1时,观察并记录0123Q Q Q Q 的输出情况,填表;

(2)在任意计数时刻,让CR =0时,观察并记录

0123Q Q Q Q 的输出情况。

(3)总结异步十进制加法计数器功能特点。

教师活动:总结

(1)当CR =1时,每来一个脉冲计数一次,0123Q Q Q Q 由0000变化到1001,计数十次后,计数器又从初始状态0000开始计数。所以该计数器称为异步十进制加法计数器。

(2)无论计数到何值,只要CR =0,输出0123Q Q Q Q 立刻为0000,即CR 为清零端。

教学环节2:集成移位寄存器

教师活动:由实际需要导入寄存器,展示74LS194芯片及其引脚图;

学生活动:在教师的引导下,认识74LS194芯片及引脚图,清楚其逻辑功能。

三、课堂小结

(1)常用的时序逻辑电路有寄存器和计数器等。

(2)计数器是一种能对输入脉冲进行累计计数的逻辑器件,除了计数功能之外,还具有分频、定时等功能,广泛地应用于各种数字系统和数字计算机中。计数器有二进制和十进制、异步和同步等类别。

(3)寄存器是一种能够存放数码或以二进制代码形式表示的信息。移位寄存器具有数码寄存和移位两种功能。

四、课堂练习

《学习指导与练习》

五、课后作业

【板书设计】

【教学后记】

电工电子技术及应用教案(9-7)

【课题编号】

××-09-07

【课题名称】

数字电路的应用

【教学目标】

应知:

1.了解555集成定时器及应用。

2.了解模数转换、数模转换的特点。

应会:

会应用555集成定时器构成典型电路。

【教学重点】

555集成定时器芯片引脚功能;逻辑功能及应用。

【难点分析】

对555集成定时器芯片工作过程的把握。

【学情分析】

555集成定时器应用广泛,但其芯片引脚功能难以把握,尤其是与外电路连接时对其工作过程的分析。利用“做中教”,让学生学会555定时器的使用,通过例题让学生把握其功能,为其更广泛的应用打下基础。对于模数转换部分,让学生了解即可。

【教学方法】

实验法、讲授法

【教具资源】

555定时器芯片、仿真软件

【课时安排】

2学时(90分钟)

【教学过程】

一、导入新课

联系生活中楼道的声控灯定时熄灭,液位监测电路等,引出555定时器,激发学生的学习兴趣。

二、讲授新课

教学环节1:555定时器

(一)555定时器

教师活动:展示555定时器芯片

学生活动:在教师的引导下,认识芯片、分清引脚,掌握其工作特性。

(二)555定时器的应用

教师活动:“做中教”,用仿真软件连接555时基电路。

学生活动:连接555时基电路构成振荡器电路。用示波器观察电容两端电压与输出电压波形。 教师活动:总结

该电路是用555时基电路构成的多谐振荡器电路。多谐振荡器是产生矩形脉冲的自激振荡电路,无需外加输入信号,接通电源,多谐振荡器就会自动产生矩形脉冲,振荡波形周期为C R R T )2(7.021+=。

教师活动:例题讲解

教学环节2:模数转换及数模转换

教师活动:讲解模数转换、数模转换的工作过程。

学生活动:了解模数转换、数模转换的工作过程。

三、课堂小结

555时基电路是一种具有广泛用途的单片集成电路,只要在其外部接上适当的阻容元件,就可以方便地构成施密特触发器、多谐振荡器等脉冲信号的产生和变换电路及其他应用电路。单片集成555时基电路有8个引脚,使用时应注意各引脚编号及性能。

模数转换(A/D)指的是将输入的模拟量转换为数字量输出,数模转换(D/A)指的是将数字量转换为模拟量,使输出的模拟量与输入的数字量成正比。模数转换器和数模转换器是用于计算机控制电路的模拟信号与数字信号之间的信号转换电路。。

四、课堂练习

《学习指导与练习》

五、课后作业

【板书设计】

【教学后记】

电工电子技术及应用教案(9-8)

【课题编号】

03-09-08

【课题名称】

用555时基电路构成多谐振振荡器

【教学目标】

应知:555时基电路的功能、特性。

应会:

(1)555时基电路芯片的引脚识别;

(2)由555芯片搭接多谐振荡器电路。

【教学重点】

555时基电路芯片的正确使用。

【难点分析】

灵活使用555时基电路芯片搭接所需功能电路。

【学情分析】

在学习555时基电路芯片功能、特性的基础上,利用“做中学”让学生在动手操作中学会识读555时基电路芯片引脚,熟练安装所需功能电路,将理论应用于实践,提高学生的操作技能。

【教学方法】

实训

【教具资源】

555时基电路芯片、1k 电阻2只,1uF 电容1只、示波器1台,直流电源1台。

【课时安排】

2学时(90分钟)

【教学过程】

一、操作部分

教师活动:指导学生完成多谐振振荡器的安装与测试。

学生活动:在教师指导下,

(1)识别555时基电路芯片引脚。

(2)设计、搭接电路。

(3)用示波器观察电容两端电压波形及输出波形特点。

(4)读出输出波形周期,验证公式C R R T )2(7.021+=是否成立。

二、课堂小结——注意事项

正确识读555电路芯片引脚,准确读数,合理布线。

三、课后作业

1.撰写555时基电路构成多谐振荡器实验报告。

2.思考:将555时基电路芯片的4引脚接地会出现什么实验结果?

【板书设计】

【教学后记】

《数字电子技术基础》 阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

数字电路教案-课题二 寄存器(2课时)

理论课授课教案

教学过程和内容 时间分配 与教法1.由D触发器构成的数码寄存器 (1)电路组成 图5-1 单拍工作方式的数码寄存器 CP:接收脉冲(控制信号输入端) (2)工作原理 当CP↑时,触发器更新状态,Q3Q2Q1Q0=D3D2D1D0,即接收输入 数码并保存。 单拍工作方式:不需清除原有数据,只要CP↑一到达,新的数据就会存 入。 常用4D型触发器74LS175、6D型触发器74LS174、8D型触发器74LS374 或MSI器件等实现。 2.由D型锁存器构成的数码寄存器 (1)锁存器的工作原理 送数脉冲CP为锁存控制信号输入端,即使能信号(电平信号)。 ②当CP=1时,D数据输入不影响电路的状态,电路锁定原来的数据。 即当使能信号结束后(锁存),数据被锁住,输出状态保持不变。 二、移位寄存器 移位寄存器除了具有存储数码的功能外,还具有移位功能。 移位功能:寄存器中所存数据,可以在移位脉冲作用下逐位左移或右移。 在数字电路系统中,由于运算(如二进制的乘除法)的需要,常常要求 实现移位功能。

1.单向移位寄存器:是指仅具有左移功能或右移功能的移位寄存器。 教学过程和内容 时间分配 与教法1)右移位寄存器 ①电路组成 图5-4 4位右移位寄存器 串行输入 同步时序逻辑电路 ②工作过程(仿真运行图5-4电路。) 将数码1101右移串行输入给寄存器(串行输入是指逐位依次输入)。 在接收数码前,从输入端输入一个负脉冲把各触发器置为0状态(称为清零)。 ④时序图 CP顺序输入D SR输出 0 1 0 0 0 0 1 1 1 0 0 0 2 0 1 1 0 0 3 1 0 1 1 0 4 0 1 0 1 1 5 0 0 1 0 1 6 0 0 0 1 0 7 0 0 0 0 1 8 0 0 0 0 0

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

数字电路教案

皖西学院教案 学年第学期 课程名称数字电子技术 授课专业班级电气 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明

单元教案

分教案

从集成度不同 数字集成电路可分为小规模、中规模、大规模、超大规模和甚大规模五类。 、数字集成电路的特点 )电路简单,便于大规模集成,批量生产 )可靠性、稳定性和精度高,抗干扰能力强 )体积小,通用性好,成本低. )具可编程性,可实现硬件设计软件化 )高速度低功耗 )加密性好 、数字电路的分析、设计与测试 ()数字电路的分析方法 数字电路的分析:根据电路确定电路输出与输入之间的逻辑关系。 分析工具:逻辑代数。 电路逻辑功能主要用真值表、功能表、逻辑表达式和波形图。 () 数字电路的设计方法 数字电路的设计:从给定的逻辑功能要求出发,选择适当的逻辑器件,设计出符合要求的逻辑电路。 设计方式:分为传统的设计方式和基于软件的设计方式。 模拟信号与数字信号 . 模拟信号 时间和数值均连续变化的电信号,如 正弦波、三角波等 、数字信号 在时间上和数值上均是离散、幅值只有和两种状态的信号。 数字电路和模拟电路:工作信号,研究的对象不同,分析、设计方法以及所用的数学工具也相应不同

教学内容纲要备注、模拟信号的数字表示 由于数字信号便于存储、分析和传输,通常都将模拟信号转换为数字信 号. →模数转换。 数字信号的描述方法 、二值数字逻辑和逻辑电平 二值数字逻辑:、数码表示数量时称二进制数,表示事物状态时称二值逻 辑。 表示方式:、在电路中用低、高电平表示、两种逻辑状态 、数字波形 数字波形是信号逻辑电平对时间的图形表示。 比特率每秒钟转输数据的位数 ()数字波形的两种类型:归零型和非归零型 ()周期性和非周期性 ()实际脉冲波形及主要参数 ()时序图表明各个数字信号时序关系的多重波形图。 课后作业

《电子技术基础》数字电路教案(张兴龙主编教材)

学生情况分析 该门课程所授对象是电子20和电子22班,两个班的学生都接近50人,均为二年一期学生。该批学生已经学习了《电子技术基础》的模拟电路的大部分,对专业都有了较为全面的了解,对专业课的学习方法都有一定的掌握,并学习过《电工基础》课程且有部分同学通过了电工证的考试,还学习过电子技能训练,掌握了基本工具的使用,具备一定的制作能力并有浓厚的兴趣。他们都还处于入门期,对知识的渴望较高,对专业课的反映很好。这些都是有利的方面。 不利的方面也是有的,诸如存在学生之间发展不平衡:有的课外参加过制作培训,甚至有少部分同学对电视机维修都有较好的掌握,而有同学对起码的制作还没入门,更有甚者有学生还不会使用万用表。还存在班级发展不平衡:由于电子20班与电子22班在以前的授课中专业老师不一样,各任课教师的侧重点也各不相同,使得班级之间有各方面的差异。随着《电子技术基础》一年二期的学习,有部分同学产生了畏难情绪,失去了学习兴趣。这两个班都有少部分同学是从电子23班转入的,在学生不平衡方面就尤为明显。 当然,教学过程本身就是要针对学生的不同状况做出相应的布置,让学生能学有所获。在对教材处理上,在教学方法上,在教学辅导等等各教学环节上都要有针对性的去解决问题,达到建立学生的学习兴趣,构成学生的知识个性。使学生能成为社会的中等技术工人,并具备后绪发展能力。

教材分析 该课程选用的由张龙兴主编的《电子技术基础》,由高等教育出版社出版,是教育部规划教材。全书分两篇,第一篇模拟电路基础,第二篇数字电路基础。第一篇学生已经在一年二期学习了大部分内容,只有集成运放一节没有学习。第二篇数字电路包括逻辑门电路、数字逻辑基础、组合逻辑电路、集成触发器、时序逻辑电路、脉冲的产生和整形电路、数模和模数转换、智能化电子系统简介八个章节。 在教学中不可能面面具到,就需要适度的对教材进行处理,只能以部分为重点,根据学生的实际情况和教材内容,在教学中侧重于逻辑门电路(8课时)、数字逻辑基础(10课时)、组合逻辑电路的教学(14课时)、集成触发器(16课时)、时序逻辑电路(16课时);对脉冲波形的产生和整形电路让学生了解性掌握(4课时)。对于智能化电子系统简介、数模和模数转换章节由于内容太深,太抽象学生不易掌握,不予讲解,但在大学阶段又有较多的应用,故就鼓励学生进行自学,对于不懂的内容个别辅导。所授内容共68课时(共需17周),由于时间限制,对其他相关内容只能利用课余时间进行辅导以扩宽学生的知识面。故要求学生能利用课余时间去阅读相关资料,来达到学以至用的目的。《电子技术基础》虽然是一门基础课,但他的应用还是相当广泛的,故在教学中也应该认识到这一点,以指导学生利用所学知识灵活运用。

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

《数字电子技术课程设计》教学大纲

教学大纲 课程名称数字电子技术课程设计课程负责人 开课系部机电工程系 教研室电气自动化 二0一四年四月一日

《数字电子技术课程设计》教学大纲 一、课程基本信息 课程编号: 课程名称:数字电子技术课程设计 英文名称:A Course Design on Digital Electronic Technology 适用专业:电气工程及其自动化类专业 先修课程:电路原理、模拟电子技术、数字电子技术 课程性质:专业基础课 设计周数:1周 学分:1分 二、课程设计的性质、目的和任务 数字电子技术课程设计是电路分析、模拟电子技术、数字电子技术等课程之后的一门理论与实践相结合的综合设计性课程,目的在于提高和增强学生对电子技术知识的综合分析与应用能力。这对于提高学生的电子工程素质和科学实验能力非常重要,是电子技术人才培养成长的必由之路。数字电子技术课程设计应达到以下目的: (1)加深对所学理论知识的理解,并能将其熟练应用,做到理论与实际相结合; (2)学会查寻资料、方案比较,以及设计计算及制作调试等环节,进一步提高分析解决实际问题的能力; (3)要求学生根据技术指标进行理论设计,并制作调试完成,培养学生分析问题、解决问题的实践能力。 对本次课程设计,原则上指导老师只给出大致的设计要求,在设计思路上不框定和约束同学们的思维,所以同学们可以发挥自己的创造性,并力求设计方案凝练可行、思路独特、效果良好。 三、课程设计的内容 以《电路分析》、《模拟电子技术》和《数字电子技术》等课程中所涉及到的电阻、电容、电感元件、无源滤波电路、变压器、二极管、三极管、场效应管及

基本放大电路、功率放大电路、集成运算放大电路、信号发生器、直流电源、门电路及触发器、小规模集成电路SSI、中规模集成电路MSI为基础,两人一组分工协作、独立设计具有可靠性高及功能明确的实际应用价值的电子电路,最后编写课程设计总结报告。设计内容可参考设计题目,也可根据自身情况自己拟定。 参考题目如下: 1.数字电子钟逻辑电路设计:设计一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间;(如准点报时、定时闹钟等)2.智力竞赛抢答器逻辑电路设计:设计一个可供四组参赛的数字式竞赛抢答器,每组设置一个抢答按钮,要求具有第一抢答信号的鉴别和锁存功能,具有计分及计时功能,设置犯规报警电路。(电路具有鉴别和锁存功能,用数码管显示第一抢答组别且该组别对应指示灯亮,电路的自锁功能,使其余抢答开关不起作用;有主持人开关、有复位功能;增加部分扩展功能(如抢答计时及加分、减分电路等) 3.交通信号灯控制器逻辑电路设计:满足绿灯30秒,黄灯5秒,红灯35秒的时序。采用两位数码显示器显示南北方向时间。 4.汽车尾灯控制电路设计:转向侧的3灯应按全灭、1灯亮、2灯亮、3灯亮得顺序动作,周期性明亮与暗,一周约需一秒;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗;制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮。 5.数字温度计逻辑电路设计:设计一个可以测量温度范围0-800C的数字式温度计,精度± 10C。 6.多路防盗报警电路设计:采用多路输入、同一报警输出方式实现,输入端带延时触发功能,具有显示报警地点功能。 7.电梯控制电路设计:设计一个简易4层电梯控制电路,能记忆电梯内、外的所有请求信号,并按照电梯运行规则按顺序响应,每个信号保留至执行后消失。 8.倒计时计时器的设计:最长记时时间为999秒,有三位数码管显示记数状态。 9.洗衣机控制电路设计: 设计一个洗衣机控制器,具有如下功能:

数字电路实验(九个)

脉冲与数字电路实验

目录 实验一TTL数字集成电路使用、与非门参数测试实验二门电路 实验三组合逻辑电路 实验四译码器与编码器 实验五触发器 实验六计数器一 实验七计数器二 实验八多谐振荡电路 实验九综合实验 ·二十四进制计数电路 ·数字定时器 ·图形发生器 专题实习通用计时器安装于调试 附录1 常用数字集成电路外引线图 附录2 TTL集成电路分类、推荐工作条件

实验一TTL数字集成电路使用、与非门参数测试 一、实验目的 学习TTL数字集成电路使用方法,学会查阅引脚图。掌握参数测试方法 二、实验设备及器件 1.逻辑实验箱1台 2.万用表1只 3.四2输入与非门74LS00 1只 三、实验重点 54/74LS系列数字集成电路的认识及使用方法 四、数字集成电路概述 以晶体管的“导通”与“截止”表达的两种状态及高电平(H)低电平(L)并以“1” 或“0”表示二进制数。能对二进制数进行逻辑运算、转换、传输、存储的集成电路称为数字集成电路。按分类有TTL型、CMOS型。按功能分有逻辑门电路、组合集成电路、集成触发器、集成时序逻辑电路。 五、实验内容及步骤 1.74LS系列数字集成电路外引线图及使用方法(引线图以14脚集成电路为例) 1)外引线排列 双列直插式封装引脚识别。引脚对称排 列,正面朝上半圆凹槽向左,左下为第1脚, 按逆时针方向引脚序号依次递增。 2)电源供电 芯片以5V供电,电源正极连接标有Vcc 字符的引脚,负极连接标有GND字符的 引脚。电源额定值应准确。为了达到良好的 使用效果,电源范围应满足4.5V≤Vcc≤5.5V。TTL数字集成电路引脚识别 电源极性连接应正确。 3)重要使用规则 a.输出端不能直接连接电源正极或负极。 b.小规模(SSI)和中规模(MSI)芯片,在使用中发热严重应检查外围连线连接是否正确。 1A1B1Y2A2B2Y GND 4A 4B4Y 3A 3B3Y 1A 1B1Y 2A 2B2Y & A B Y & A B Y

数字电路教案汇总

数字电路教案汇总

皖西学院教案2014 - 2015 学年第2学期 课程名称数字电子技术 授课专业班级电气1302-02 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明 课程类别总学分 3.5 总学时56 本学期学时教学周次周学时学时分配 56 14 4 讲授实验上机考查其他56 教学目的要求 在元器件学习的基础上,掌握数字电路的基础和逻辑门电路的基础知识;重点掌握组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法;掌握D/A和A/D转换以及脉冲波形的产生和整形电路;了解半导体存储器的基本概念和基本知识。 教学重点难点重点掌握逻辑门电路的基础知识,组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法。此部分内容也是该门课程的教学难点。 选用教材 电子技术基础(数字部分)康华光等(第五版),北京:高等教育出版社

主要参考资料1.清华大学电子学教研组,阎石主编,数字电子技术基础,第四版,北京,高等教育出版社,1998。 2.李士雄,丁康源主编,数字集成电子技术教程,北京:高等教育出版社,1993。 3.曹汉房,陈耀奎编著,数字技术教程,北京:电子工业出版社,1995。4.扬晖,张风言编著,大规模可编程逻辑器件与数字系统设计,北京:北京航空航天大学出版社,1998。 备注 单元教案 知识单元 主题 数字逻辑基础学时 教学内容(摘要)1.1 数字电路与数字信号 1.2 数制 1.3 二进制数的算术运算 1.4 二进制代码 1.5 二值逻辑变量与基本逻辑运算1.6 逻辑函数及其表示方法

基本逻辑门电路1教案

题目:模块六数字电路的基本知识 第二节基本逻辑门 教学目的: 1、掌握与门、或门、非门的逻辑功能及逻辑符号; 2、掌握基本逻辑运算、逻辑函数的表示方法; 3、掌握三种基本的逻辑电路。 重点与难点:重点:基本逻辑关系:“与”关系、“或”关系、“非”关系 难点:基本逻辑门电路的工作原理及其逻辑功能 教学方法: 1、讲授法 2、演示法 组织教学: 1、检查出勤 2、纪律教育 课时安排: 2课时 教学过程(教学步骤、内容等) 模块六数字电路的基本知识 复习回顾: 1、什么叫模拟电路?什么叫数字电路? 2、常用的数制有哪几种?(要会换算) 导入新课: 数字电路为什么又叫逻辑电路?因为数字电路不仅能进行数字运算,而且还能进行逻辑推理运算,所以又叫数字逻辑电路,简称逻辑电路。 定义:所谓逻辑电路是指在该电路中,其输出状态(高、低电平)由一个或多个输入状态(高、低电平)来决定。 数字电路的基本单元是基本逻辑电路,它们反映的是事物的基本逻辑关系。 什么是门? 新课讲解: 基本逻辑门 三种基本逻辑关系 一、“与”逻辑 1、定义:如果决定某事物成立(或发生)的诸原因(或条件)都具备,事件才发生,而只要其中一个条件不具备,事物就不能发生,这种关系称为“与”关系。

2、示例:两个串联的开关控制一盏电灯。 A B 3、“与”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“0”出“0”,全“1”出“1” 5、逻辑符号:二、“或”逻辑 1 、定义:A 、B 等多个条件中,只要具备一个条件,事件就会发生,只有所有条件均不具备的时候,事件才不发生,这种因果关系称为“或”逻辑。 2、示例:两个并联的开关控制一盏电灯。 A 3、“或”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“1”出“1”,全“0”出“0” 5、逻辑符号:三、“非”逻辑 1、定义:决定事件结果的条件只有一个A ,A 存在,事件Y 不发生,A 不存在,事件Y 发生,这种因果关系叫做“非”逻辑。 R

数字电路实验

数字电路实验 实验要求: 1.遵守实验室规则,注意人身和仪器设备的安全。 2.预习并按规范写好预习报告,否则不能参加实验。 3.进入实验室后保持安静,对号入座, 4.将预习报告置于实验桌右上角,待指导教师检查。 5.完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地 向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告 等综合决定。 实验报告内容要求 1.实验名称、实验者姓名、实验时间地点和指导教师等。 2.实验目的与要求。 3.实验用仪器仪表的名称和型号。 4.实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5.实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6.实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得 出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7.实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对 实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8.参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL 与非门参数测试及使用 一、实验目的 1、学习 TTL 和 CMOS 门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的电平 差异。 2、通过测试TTL 与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括 U OL、 U OH、 U ON、 U OFF、 U TH、 U NL、 U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、 TTL 与 CMOS 门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试 TTL 与非门 74LS00 和 CM0S 或非门 CC4001 逻辑功能。 (1)识别 72LS00 和 CC4001 的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 ( 3)测试它们的真值表,要求纪录输入高低电平(U IL、 U IH)和输出高低电平(U OL、 U OH ) 。 ( 4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试 TTL 与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制 TTL 门的传输特性曲线,并根据曲线标出U ON、U OFF、 U TH及 U NL、 U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138 实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

数字电路7大基础实验

数字电路7大基础实验-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

实验一门电路逻辑功能及测试 一、实验目的 1.了解实验箱各部分的功能,并熟悉其使用方法。 2.熟悉门电路的外形和引脚以及逻辑功能。 3.学习集成电路的测试方法及示波器使用方法。 二、实验仪器及材料 1.双踪示波器 2.器件 74LS00 二输入端四与非门 2片 74LS20 四输人端双与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六反相器 1片 三、预习要求 1.复习门电路工作原理及相应逻辑表达式. 2.熟悉所用集成电路的引线位置及各引线用途. 3.了解双踪示波器使用方法. 四、实验箱介绍 实验箱由电源、电平显示、信号源、芯片插座、逻辑开关等部分组成。

1、电源部分 输出DC、+5V、+~+15V直流稳压电源各一路。两路均设有短路报警功能,电源在短路时自动将电源与已经短路的电路断开,当短路故障排除后,按下报警复位开关即可恢复供电。 2、显示部分 电平指示由10组发光二极管组成,用+5V接电平输入时灯亮为正常。用GND(地)接电平无输出显示为正常。数字显示由2位7段LED数码管及二-十进制译码器驱动器组成。分译码输入端和段位显示输入端(高电平有效)。 3、信号源部分

分单脉冲和连续脉冲2部分,单脉冲开关为消抖动脉冲;连续脉冲分为2组,一组为4路固定频率脉冲,分别为200kHZ、100kHZ、50kHZ、25kHZ;另一组为:1Hz~5kHz 连续可调方波。 4、逻辑电平开关 由10组逻辑电平开关组成(S0-S9),逻辑开关用于输出逻辑电平“1”和“0”。接电平指示,并左右拨动开关(H为高电平+5V,L为低电平0V),则红绿灯相应亮灯。用一组(4位)逻辑开关分别接数码显示的译码输入ABCD(8421BCD),拨动开关组合,输入0000~1001,则数码显示为0~9。 5、集成块插座 插座为双列直插或多列直插,集成块引脚数和引脚号须与插座相符,上左下右对角一般为正、负电源(特殊除外),电源负端接GND即可(10个14脚、3个16脚、1个20脚)。

数字电路基础知识

第11章数字电路基础知识 教学重点: 1.掌握与门、或门、非门的逻辑功能及逻辑符号。 2.了解与或非门、同或门、异或门、OC门与三态门等复合门的逻辑功能和逻辑符号。3.掌握基本逻辑运算、逻辑函数的表示方法。 4.掌握逻辑代数的基本公式;熟练应用公式化简逻辑函数。 教学难点: 1.各种逻辑关系的含义。 2.用公式化简逻辑函数。 3.根据函数表达式画出逻辑图。 学时分配: 11.1数字电路概述 11.1.1 数字电路及其特点 电子线路中的电信号有两大类:模拟信号和数字信号。 1.概念 模拟信号:在数值上和时间上都是连续变化的信号。 数字信号:在数值上和时间上不连续变化的信号。 模拟电路:处理模拟信号的电路。 数字电路:处理数字信号的电路。 2.数字电路特点

(1) 电路中工作的半导体管多数工作在开关状态。 (2) 研究对象是电路的输入与输出之间的逻辑关系,分析工具是逻辑代数,表达电路的功能主要用真值表,逻辑函数表达式及波形图等。 11.1.2 数字电路的发展和应用 数字电路的发展:与器件的改进密切相关,集成电路的出现促进了数字电路的发展。 数字电路的应用:范围广泛,国民经济许多部门中都将大量应用数字电路。 11.2 基本逻辑门电路 各种逻辑门电路是组成数字电路的基本单元。 11.2.1 关于逻辑电路的几个规定 一、逻辑状态的表示方法 用数字符号0和1表示相互对立的逻辑状态,称为逻辑0和逻辑1。 表11.2.1 常见的对立逻辑状态示例 二、高、低电平规定 用高电平、低电平来描述电位的高低。 高低电平不是一个固定值,而是一个电平变化范围,如图11.2.1(a)所示。 单位用“V ”表示。 在集成逻辑门电路中规定 —— 标准高电平V SH —— 高电平的下限值; 标准低电平V SL —— 低电平的上限值。 应用时,高电平应大于或等于V SH ;低电平应小于或等于V SL 。 三、正、负逻辑规定 正逻辑:用1表示高电平,用0表示低电平的逻辑体制。 负逻辑:用1表示低电平,用0表示高电平的逻辑体制。 11.2.2 与门电路 基本的逻辑关系:与逻辑、或逻辑和非逻辑。 一、与逻辑 1.与逻辑关系 与逻辑关系如图11.2.2所示。当决定一件事情的几个条件全部具备后,这件事情才能发生,否则不发生。 图11.2.1 正逻辑和负逻辑

相关主题
文本预览
相关文档 最新文档