EDA课程设计报告DOC
- 格式:doc
- 大小:1.51 MB
- 文档页数:25
《EDA课程实验设计报告》洗衣机自动控制器设计一实验要求:1、由一个按键控制洗衣机的启动、暂停。
2、洗衣机洗涤一定时间后,能自动停止,由一个LED灯闪烁提示,并发出报警提示。
3、洗涤时间能用数码管显示,暂停时时间停止,暂停后时间在停止的时间基础上继续计时。
4、用至少四个LED指示灯的流动表示洗衣机的正转和反转。
即当正转20秒时,四个指示灯从右向左循环移动;反转20秒时,四个指示灯从右向左循环移动。
5、暂停10秒时,四个指示灯闪烁来表示电机停止转动。
二.设计总体思路,基本原理1.设计总体思路从课程设计要求来看,要求实现电机的正传、反转、暂停,用四个LED灯的状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。
接下来脉冲是一定的了,但是有分钟计数器和秒钟计数器还要考虑是不是要60分频器,就我们所学过的来说实现循环有移位寄存器;还有个问题,当洗涤时间到了,报警还要一个报警电路,根据要求,报警的蜂鸣器不可以长时间的叫,要有个合理的时间,我们可以用一个单稳态电路来实现。
方案为:直接从数值上进行提取信号来控制一个可以实现循环的74LS194来实现。
2.基本原理首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。
当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零。
三.单元电路设计1.一百进制分计数器和六十秒计数器的设计(1)分、秒计数器的设计分、秒计数器我们都用74192片,74192上升沿触发,由UP,DOWN两管脚控制加减计数,有异步置数端LOAR和异步复位端CLR,BO’和CO’分别输出高电平表示加进位和减进位。
由于74192没有保持脚,故需要外围电路实现保持功能。
EDA课程设计报告实训任务:一、实训目的和要求:(1)熟练掌握keil c51集成开发环境的使用方法(2)熟悉keil c51集成开发环境调试功能的使用和dp?51pro。
net单片机仿真器、编程器、实验仪三合一综合开发平台的使用。
(3)利用单片机的p1口作io口,学会利用p1口作为输入和输出口。
(4)了解掌握单片机芯片的烧写方法与步骤。
(5)学会用单片机汇编语言编写程序,熟悉掌握常用指令的功能运用。
(6)掌握利用protel 99 se绘制电路原理图及pcb图。
(7)了解pcb板的制作腐蚀过程。
二、实训器材:pc机(一台)pcb板(一块)520ω电阻(八只)10k电阻(一只)led发光二极管(八只)25v 10μf电容(一只)单片机ic座(一块)at89c51单片机芯片(一块)热转印机(一台)dp?51pro。
net单片机仿真器、编程器、实验仪三合一综合开发平台(一台)三、实训步骤:(2)将流水灯程序编写完整并使用tkstudy ice调试运行。
(4)打开电源,将编写好的程序运用tkstudy ice进行全速运行,看能否实现任务要求。
(6)制板。
首先利用protel 99 se画好原理图,根据原理图绘制pcb图,然后将绘制好的pcb布线图打印出来,经热转印机转印,将整个布线图印至pcb 板上,最后将印有布线图的pcb板投入装有三氯化铁溶液的容器内进行腐蚀,待pcb板上布线图外的铜全部后,将其取出,清洗干净。
(7)焊接。
将所给元器件根据原理图一一焊至pcb板相应位置。
(8)调试。
先把at89c51芯片插入ic座,再将+5v电源加到制作好的功能板电源接口上,观察功能演示的整个过程(看能否实现任务功能)。
(流水灯控制器原理图)四、流水灯控制器程序的主程序:org 0000hsjmp startorg 0030hstart:mov a,0ffhmov r0,1chmov r2,12hclr cloop1:acall delaydjnz r0,loop,尽在。
EDA课程设计报告课程EDA技术题目A/D采样控制器的设计系别物理与电子工程学院年级07 专业电子科学与技术班级电子4 班学号050207406 22 24 31学生姓名江林彬凤笑宇卞长兵张爱兵指导教师张静亚职称讲师设计时间2010/7/13一.设计任务及要求 (3)1.1设计任务及要求 (3)1.1.1 硬件电路内容和要求: (3)1.1.2 软件设计内容和要求: (3)二.系统设计原理 (4)2.1 硬件设计原理 (4)2.1.1.ADC0809的主要特性 (4)2.1.2.ADC0809的内部结构 (5)2.1.3.ADC0809的外部特性(引脚功能) (5)2.2 软件设计原理 (5)三.系统软件设计思想 (7)3.1 ADCSCT 模块: (7)3.2 ZH 模块: (9)3.3 LED 模块: (10)3.4 AD模块: (10)四.电路原理及仿真电路图 (10)4.1电路原理 (10)4.2软件仿真图 (11)4.3 实验原理框图 (12)五.实验流程图 (13)六.实验结果及现象 (15)6.1 硬件电路图 (15)6.2 实验结果及其现象 (15)七.总结与体会 (16)八.参考文献 (17)九.附录 (18)一.设计任务及要求1.1设计任务及要求1.1.1 硬件电路内容和要求:掌握ADC0809器件的工作原理,并以ADC0809 为A/D转换芯片,设计数据采集电路,并调试。
1.1.2 软件设计内容和要求:用VHDL实现模数转换控制,并将转换后的数据输出显示。
二.系统设计原理2.1 硬件设计原理本系统包括用CPLD/FPGA 设计的采样控制器ADCSCT、ADC0809、模拟信号的采样电路和七段驱动显示电路。
其中ADCSCT 和ADC0809 接口。
ADC0809 为单极性输入, 8位转换逐次逼近A /D 转换器, 可对0~5V 的INT 0~INT 7 的8 路模拟电压信号分时进行转换, 完成一次转换的时间为100 微秒。
课程设计报告(理工类)课程名称: EDA技术专业班级:电子信息工程101 学生学号:学生姓名:所属院部:指导教师:20 11 ——20 12 学年第 2 学期设计项目名称:数字秒表设计实验地点:同组学生姓名:设计成绩:批改教师:批改时间:1.课程设计目的2.课程设计的基本要求3.课程设计类型二、仪器和设备三、设计过程1.设计内容和要求2.设计方法和开发步骤3.设计思路4.设计难点四、设计结果与分析1.思路问题以及测试结果失败分析2.程序简要说明1.课程设计目的1)根据设计要求,完成对数字秒表的设计。
2)进一步加强对MaxplusⅡ软件的应用和对VHDL语言的使用。
2.课程设计的基本要求1)提供的时钟信号频率为100Hz,实现计数从0.01s到0.1s,再到1s,10s,1min,10min,1h。
3.课程设计类型1)综合应用设计二、仪器和设备1.计算机,1台三、设计过程1.设计内容和要求1)用MaxplusⅡ软件编程实现六进制计数器、十进制计数器、分频器(3MHz——100MHz)模块。
2)编译各个模块,连接各模块,最终实现一小时的秒表计数功能。
2.设计方法和开发步骤1)编程实现十进制计数器十进制计数器源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity cnt10 isport(clk: in std_logic;clr: in std_logic;ena: in std_logic;cq: out integer range 0 to 15;carry_out: out std_logic);end entity cnt10;architecture art of cnt10 issignal cqi: integer range 0 to 15; beginprocess(clk,clr,ena)isbeginif clr='1'then cqi<=0;elsif clk'event and clk='1'then if ena='1'thenif cqi<9 then cqi<=cqi+1; else cqi<=0;end if;end if;end if;end process;process(cqi)isbeginif cqi=9 then carry_out<='1';else carry_out<='0';end if;end process;cq<=cqi;end architecture art;2)编程实现六进制计数器六进制计数器源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity cnt6 isport(clk: in std_logic;clr: in std_logic;ena: in std_logic;cq: out std_logic_vector(3 downto 0);carry_out: out std_logic);end entity cnt6;architecture art of cnt6 issignal cqi: std_logic_vector(3 downto 0);beginprocess(clk,clr,ena)isbeginif clr='1'then cqi<="0000";elsif clk'event and clk='1'thenif ena='1'thenif cqi="0101"then cqi<="0000";else cqi<=cqi+'1';end if;end if;end if;end process;process(cqi)isbeginif cqi="0000"then carry_out<='1';else carry_out<='0';end if;end process;cq<=cqi;end architecture art;3)编程实现分频器模块分频器源代码(3MHz—100Hz)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity clkgen isport(clk: in std_logic;newclk: out std_logic);end entity clkgen;architecture art of clkgen issignal cnter:integer range 0 to 10#29999#; beginprocess(clk) isbeginif clk'event and clk='1' thenif cnter=29999 then cnter<=0;else cnter<=cnter+1;end if;end if;end process;process(cnter) isbeginif cnter=29999 then newclk<='1';else newclk<='0';end if;end process;end architecture art;3.设计思路因为实验硬件仿真提供3MHz的时钟信号,所以要用分频器实现3MHz 到100Hz的时钟信号的转换。
目录1、概述 (4)2、设计要求 (4)3、设计内容 (5)4、方案设计与原理分析 (6)5、锁存译码设计 (9)6、报警信号发生器 (16)7、密码锁控制电路仿真结果及下载分析(18)8、心得体会 (22)电子技术综合任务书姓名学号学院班级题目简易14位数字密码锁控制电路设计设计任务1、基本要求(1)、14位数字密码分成高7位(DH6….D H0)和低7位(DL6….D L0),用数字逻辑开关预置,输出信号out为1表示开锁,否则关闭。
;(2)、14位数字密码分时操作,先预置高7位,然后再置入低7位,(3)要求电路工作可靠,保密性强,开锁出错立即报警,(4)、利用MAXPLUS2软件进行设计、编译、并在FPGA芯片上实现;(5)、14位密码自己设定。
时间进度总体时间为1周;星期一上午:讲解;星期一下午:理解电路原理;星期二下午:编辑源程序设计电路;星期四下午:验收电路图,检验程序是否正确;星期五之前:上交课程设计报告;主要参考文献【1】刘爱荣、王振成。
EDA技术与CPLD︱FPGA开发应用简明教程。
北京:清华大学出版社;【2】刘吕华。
数字逻辑EDA设计与实践。
北京:国防工业出版1、概述现在EDA技术应用广泛,包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。
目前EDA 技术已在各大公司、科研和教学部门广泛使用。
在产品设计与制造方面,EDA 技术可实现前期的计算机仿真、系统级模拟及测试环境的仿真、PCB的制作、电路板的焊接、ASIC的设计等。
EDA是随着集成电路和计算机技术的飞速发展应运而生的一种高级、快速、有效的电子设计自动化工具。
它是为解决自动控制系统设计而提出的,从七十年代经历了计算机辅助设计CAD,计算机辅助工程CAE,电子系统设计自动化ESDA三个阶段。
前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提供了并行设计环境概念,提供了独立与工艺和厂家的系统级的设计工具。
eda课程设计报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用EDA软件进行电路设计与仿真,理解并掌握数字电路的设计原理。
3. 学生了解并掌握基础的硬件描述语言(如VHDL/Verilog),能完成简单的数字系统设计。
技能目标:1. 学生通过EDA软件的操作,培养电子电路设计、仿真与验证的实际操作能力。
2. 学生通过小组合作完成设计项目,提高团队协作与沟通技巧。
3. 学生能够运用所学知识解决实际问题,具备一定的创新意识和动手能力。
情感态度价值观目标:1. 学生在EDA课程学习中,培养对电子科学技术的兴趣和探究精神。
2. 学生通过课程实践,增强自信心和成就感,激发进一步学习的动力。
3. 学生在学习过程中,树立正确的工程伦理观念,认识到技术发展对社会的责任和影响。
课程性质:本课程为电子信息工程及相关专业高年级学生的专业核心课程,旨在通过理论与实践相结合的教学,提高学生的电子设计能力。
学生特点:学生已具备一定的电子技术基础,具有较强的学习能力和实践欲望,对新技术和新工具充满好奇心。
教学要求:结合学生特点,注重培养实际操作能力,鼓励学生创新思维,提高解决实际问题的能力。
通过课程目标分解,确保学生在知识、技能和情感态度价值观方面的全面成长。
后续教学设计和评估将以此为基础,关注学生的学习成果。
二、教学内容根据课程目标,教学内容分为以下三个模块:1. EDA基本概念与工具使用- 教材章节:第一章 EDA技术概述,第二章 EDA工具简介- 内容列举:EDA发展历程,常用EDA软件介绍,软件安装与配置,基本操作流程。
2. 数字电路设计与仿真- 教材章节:第三章 数字电路设计基础,第四章 仿真技术- 内容列举:数字电路设计原理,EDA软件电路设计流程,仿真参数设置,波形分析与验证。
3. 硬件描述语言与数字系统设计- 教材章节:第五章 硬件描述语言,第六章 数字系统设计实例- 内容列举:硬件描述语言基础,VHDL/Verilog语法要点,简单数字系统设计方法,设计实例分析与实操。
电子行业EDA课程设计报告1. 引言本文档是电子行业EDA课程的设计报告,旨在介绍课程的设计目标、内容、实施过程以及所取得的成果。
EDA(Electronics Design Automation)是指电子设计自动化,是电子行业中一种重要的设计和开发方法。
本课程旨在培养学生掌握EDA的基本概念、流程和工具,从而提高他们在电子行业中的设计能力和竞争力。
2. 设计目标本课程的设计目标如下:1.培养学生掌握EDA的基本概念和原理。
2.培养学生熟练掌握EDA工具的使用和应用。
3.培养学生具备独立进行EDA项目设计和开发的能力。
4.提高学生的团队合作和沟通能力。
3. 课程内容本课程的内容主要包括以下几个方面:3.1 EDA基础知识在本节课程中,学生将学习EDA的基本概念和原理,包括电子设计流程、硬件描述语言、电路仿真和验证等方面的知识。
3.2 EDA工具的使用本节课程将介绍常用的EDA工具,包括电路设计工具、电路仿真工具和电路布局工具等。
学生将学习如何使用这些工具进行电路设计、仿真和验证。
3.3 EDA项目设计与开发在本节课程中,学生将进行一个EDA项目的设计与开发实践。
学生将根据给定的项目需求,使用所学的EDA工具进行电路设计、仿真和验证,并最终提交一个完整的EDA项目报告。
3.4 团队合作与项目管理本节课程将讲解团队合作和项目管理的基本原理和方法,包括任务分配、进度管理和沟通协作等方面的内容。
学生将通过分组合作,在完成EDA项目的过程中提高团队合作和沟通能力。
4. 实施过程本课程的实施过程主要包括以下几个阶段:4.1 阶段一:知识讲解在此阶段,教师将讲解EDA的基本概念、流程和工具使用方法。
学生将通过课堂听讲和课后阅读相关资料,对EDA的基础知识进行学习和理解。
4.2 阶段二:工具练习在此阶段,学生将通过实际操作,熟悉常用的EDA工具的使用方法。
教师将提供实验环境和相关实验指导,学生将利用课余时间进行工具的练习和实践。
集成电路EDA课程设计报告班级:微电1302 姓名:李粒学号:1306090203 一、设计目标设计完成小型多功能信号发生器电路。
可产生正(余)弦波、方波、三角波和锯齿波。
二、技术指标1)工作电压+(-)12v;2)正、余弦波频率范围:10hz~100hz;3)方波和三角波输出频率:不大于30hz;4)方波幅度为14v;5)三角波和锯齿波幅度7v.6)最大输出电流大于80mA(负载电阻47Ω,如有可能,实现稳压输出:负载在一定范围内变化,信号电压大小不变);7)方波占空比可调,输出频率连续可调。
三、设计原理该电路是由滞回比较器和积分电路和滤波器所组成。
从积分器输出反馈比较器的线路断开时,U1A与R1、R2、R3、R6组成电压比较器,运放的反向端接基准电压,即U-=0,同相断接输入电压Uin,R3位平衡电阻。
比较器的输出端的高电平等于正电源电压+Ucc,低电平等于负电源电压-UEE,当比较器U+=U-=0时,比较器翻转。
输出电压从高电平+Ucc跳到低电平-UEE,从低电平-UEE跳变到高电平+Ucc。
则可以求出门限电压。
反馈线路闭合,即比较器与积分器首尾相连形成闭环电路,则自动产生方波—三角波(改变R11则形成锯齿波),U1B积分电路电容成c1充放电时间分开,即T1≠T1时三角波产生电路变成锯齿波产生电路,改变R11,则可改变锯齿波的上升和下降的斜率。
周期T=2R11CR1/R2+R6,f=1/T.当三角波通过滤波器就产生了正弦波。
四、设计电路和实际指标⑴方波发生器方波从一般原理来分析,可以在滞回比较器电路的基础上,靠正反馈和RC充放电回路组成矩形波发生电路,由于滞回比较器的输出只有两种可能的状态,高电平或低电平,两种不同的输出电平式RC电路进行充电和放电,于是电容上的电压降升高或降低,而电容的电压又作为滞回比较器的输入电压,控制其输出端状态发生跳变,从而使RC电路由充电过程变成放电过程或相反,如此循环往复,周而复始,最后在滞回比较器的输出端即可得到一个高低电平变化周期性交替的方波信号.通过调节电位器来调节频率范围。
目录课程设计任务书 (1)1.课程设计任务 (2)1.1设计要求 (2)1.2任务要求 (3)2.方案设计、原理分析 (3)3.密码译码电路设计与仿真 (6)4.报警电路设计与仿真 (8)5.顶层设计、总体调试及结果 (13)6.体会 (16)课程设计任务书1.1设计要求1)输入按键开关设计为7个,密码位数要14位。
14位数字密码分成高7位(DH6….D H0)和低7位(DL6….D L0)两次输入,用数字逻辑按键开关预置,1)开锁输出信号out为1表示开锁,否则不开锁。
2)输出报警信号均为1有效,分为声报警Sound thealarm (扬声器)和light alarm(发光管),3) 4.14位数字密码分时操作,先预置高7位,然后再置入低7位,(顶层电路可参考图1)。
4)要求电路工作可靠,保密性强,开锁出错立即报警,(用声光两种形式同时报警)。
5)利用QuartusⅡ软件,混合设计方法进行设计、编译、并在FPGA芯片上实现。
6)7.14位密码自己设定。
比如:“10010010011100”。
本次设计采用本人学号后三位分别用BCD码+两位班号,其中一班是01,二班是10,三班是11四班是00作为设计密码。
1.2任务要求1)设计简易14位数字密码模块ic9a模块,框图见图2,模块ic9ad的设计采用VHDL实现。
2)设计一个报警信号电路(输入频率:100khz,输出频率1HZ,占空比为0.5方波)连接到CP端为报警,设计方法不限。
3)在ic9a模块基础上设计14位数字密码锁的顶层电路。
4)提高部分:(1)增加修改密码功能。
(2)将14位密码转换成BCD码在数码管上显示。
2.方案设计、原理分析清零置高七位将高七位锁存译码锁存信号是否为1输入低七位开锁YN密码是否正确开锁指示灯亮声音报警 灯报警YN图1 原理图 图2 原理框图本次设计是设计14位密码锁,但是如果设计14个输入端口的,试验箱上的输入端口会出现不够用的情况。
eda课程设计报告本篇课程设计报告旨在介绍我所参加的EDA课程设计项目。
在这个项目中,我们学习了EDA(Electronic Design Automation)的基本概念和工具,并且设计了一个基于RTL(Register Transfer Level)的数字电路。
以下是具体内容:一、课程设计背景EDA是指用计算机辅助设计来协助电子设计工程师进行电子系统的设计、验证和实现。
EDA已经成为了电子工程领域中不可或缺的工具。
本次课程设计旨在让我们熟悉EDA工具的使用,理解数字电路设计和验证的基本原理。
二、课程设计内容我们采用了Verilog语言来描述数字电路,使用Xilinx Vivado 作为开发工具。
我们首先学习了Verilog的基本语法和编写方法,然后根据老师提供的案例,设计了一个基于RTL的数字电路——多功能计数器。
多功能计数器由三个模块组成:计数模块、比较模块和控制模块。
其中计数模块负责计数,比较模块负责比较计数器的值和一个给定的阈值,控制模块负责根据比较结果控制计数器的计数和清零。
我们使用Xilinx Vivado中的IP核生成器来构建这些模块,并将它们组合成一个完整的数字电路。
三、课程设计效果通过本次课程设计,我们掌握了EDA工具的基本使用和数字电路设计的基本原理。
我们通过自己的实践,加深了对Verilog语言的理解,并且学会了如何使用Xilinx Vivado来开发数字电路。
最终,我们成功实现了一个多功能计数器,并且对其进行了测试和验证,达到了预期效果。
四、总结EDA课程设计为我们打下了坚实的数字电路设计基础,使我们更加熟练地使用EDA工具,同时也为我们今后的学习和工作奠定了基础。
我们将继续探索EDA工具的应用,深入了解数字电路设计的原理和方法,为电子工程领域做出更多的贡献。
成绩评定表课程设计任务书目录1 概述 (1)1.1 设计背景和意义 (2)1.2 设计任务 (3)1.3 设计要求 (4)2 原理设计及层次划分 (5)2.1 工作原理 (6)2.2 层次划分 (7)3 软件设计 (8)3.1 XX模块代码设计 (9)3.2 XX模块代码设计 (10)4 仿真及测试 (11)4.1 XX模块仿真 (12)4.2 XX模块仿真 (13)5 总结 (14)6 参考文献 (15)1概述1.1 设计背景和意义EDA技术是先进的电子设计手段,随着现代社会的发展,人类社会日趋走向一个物质文明社会。
伴随着我国经济的迅速发展,人们生活水平日益提高,人们对各服务行业的自动化程序要求也就越来越高,作为服务行业的自动化装置,已经开始进入到使用单位,自动售货机更是随处可见极大程人们的生活1.2 设计任务用两个发光二极管分别模拟售出面值为6角和8角的邮票,购买者可以通过开关选择一种一种面值的邮票,灯亮表示邮票售出,用开关分别模拟1角、5角和1元硬币投入,用发光二极管分别代表找回的剩余的硬币,每次只能售出一枚邮票,当所投硬币达到或超过购买者所选面值时,售出一枚邮票,并找回剩余的硬币,回到初始状态;当所投硬币值不足面值时,可以通过一个复位键退回所投硬币,回到初始状态。
1.3 设计要求利用所学的EDA设计方法设计自动售邮票的控制电路,熟练使用使用QUARTUSII应用软件,进一步学习使用VHDL语言、原理图等EDA设计方法进行综合题目的方法。
1.调试底层模块,并时序仿真。
2.设计顶层模块,并时序仿真。
3.撰写课程设计报告.设计报告要求及格式见附件。
2 原理设计及层次划分2.1 工作原理利用状态机模拟输入累加的钱的总数,通过判决电路对输入的钱的总值进行判断,根据判断结果做出售票、找零、复位等相应的动作。
而对状态机得应用应注意其设计步骤:a . 逻辑抽象。
分析给定的逻辑问题,搞清楚输入和输出,通常取原因或者条件为输入,结果为输出。
eda课程设计实训报告一、教学目标本课程的教学目标分为知识目标、技能目标和情感态度价值观目标。
知识目标:学生通过本课程的学习,能够掌握eda的基本概念、原理和应用。
技能目标:学生能够熟练使用eda工具,进行电子系统设计和仿真。
情感态度价值观目标:培养学生对科技创新的兴趣和热情,提高学生解决实际问题的能力。
二、教学内容根据课程目标,本课程的教学内容主要包括eda基本概念、eda工具的使用和电子系统设计实例。
教学大纲如下:1.第一章:eda概述学习eda的基本概念、发展历程和应用领域。
2.第二章:eda工具介绍学习主流eda工具的使用方法和技巧。
3.第三章:电子系统设计实例通过具体实例,学习如何使用eda工具进行电子系统设计和仿真。
三、教学方法本课程采用讲授法、讨论法、案例分析法和实验法等多种教学方法。
1.讲授法:用于讲解eda的基本概念和原理。
2.讨论法:用于引导学生探讨和解决问题。
3.案例分析法:通过分析具体案例,让学生掌握eda工具的使用方法和技巧。
4.实验法:让学生动手实践,提高实际操作能力。
四、教学资源本课程的教学资源包括教材、参考书、多媒体资料和实验设备。
1.教材:选用权威、实用的eda教材作为主要学习资料。
2.参考书:提供相关领域的参考书籍,丰富学生的知识体系。
3.多媒体资料:制作精美的PPT、视频等多媒体资料,提高学生的学习兴趣。
4.实验设备:保障实验课程的顺利进行,让学生充分实践。
五、教学评估本课程的评估方式包括平时表现、作业和考试。
1.平时表现:通过课堂参与、提问和讨论等方式评估学生的学习态度和理解程度。
2.作业:布置适量的作业,评估学生的掌握情况和应用能力。
3.考试:进行期中和期末考试,全面评估学生的知识掌握和运用能力。
评估方式要求客观、公正,能够全面反映学生的学习成果。
六、教学安排教学进度安排如下:1.第一章:eda概述(2课时)2.第二章:eda工具介绍(4课时)3.第三章:电子系统设计实例(6课时)教学时间安排为每周2课时,共计12课时。
EDA课程设计报告一、设计任务模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯操纵器。
能达到的要求:(1)交通灯从绿变红时,有4秒黄灯亮的间隔时刻;(2)交通灯红变绿是直截了当进行的,没有间隔时刻;(3)主干道上的绿灯时刻为40秒,支干道的绿灯时刻为20秒;(4)在任意时刻,显示每个状态到该状态终止所需的时刻。
支干道主干道图1 路口交通治理示意图二、设计原理1、设计目的:学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯操纵系统,交通灯操纵系统要紧是实现都市十字交叉路口红绿灯的操纵2、设计讲明在红绿灯交通信号系统中,大多数情形是通过自动操纵的方式指挥交通的。
因此为了幸免意外事件的发生,电路必须给一个稳固的时钟(clock)才能让系统正常运作。
模块讲明:系统输入信号:Clk: 由外接信号发生器提供256的时钟信号;系统输出信号: full:产生每秒一个脉冲的信号;(2)第二模块:计数秒数选择电路计数电路最要紧的功能确实是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。
模块讲明:系统输入:full: 接收由clk电路的提供的1hz的时钟脉冲信号;系统输出信号:tm:产生显示电路状态转换信号tl:倒计数值秒数个位变化操纵信号th:倒计数值秒数十位变化操纵信号(3)第三模块:红绿灯状态转换电路本电路负责红绿灯的转换。
模块讲明:系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号;tm: 接收计数秒数选择电路状态转换信号;系统输出信号:comb_out: 负责红绿灯的状态显示。
本电路负责红绿灯的计数时刻的显示。
模块讲明:系统输入信号:tl:倒计数值秒数个位变化操纵信号;th:倒计数值秒数十位变化操纵信号;系统输出信号:led7s1: 负责红绿灯的显示秒数个位。
led7s2:负责红绿灯的显示秒数十位。
EDA课程设计报告—VGA显示器彩色信号发生器电路班级:11电子班姓名:学号: 1115102015指导老师:凌朝东摘要:VGA(Video Graphic Array)接口是与显示器进行通信的唯一接口。
通过FPGA器件控制RGB信号、行同步信号、场同步信号等信号,并参照有关标准,最后可以实现对VGA显示器的控制。
本设计与传统的图像显示方法不同,为节省储存空间在设计中采用R、G、B三种基色利用FPGA芯片和EDA设计方法,可以显示8种颜色,设计出针对性强的VGA显示控制器,而且不需要依靠计算机,既能够大大降低成本,又可以满足生产实践中不断变化的需要,同时产品的升级换代也方便迅速。
本设计采用Altera公司的EDA软件0uartus II,并以Cylone 系列FPGA器件为硬件平台进行系统设计。
目录1、系统设计 (4)2、单元电路设计 (6)3、软件设计 (8)4、系统测试 (12)5、结论 (14)6、参考文献 (14)7、附录 (15)8、设计说明书 (16)一、系统设计1、设计要求:VGA显示器彩色信号发生器电路,能在VGA显示器上显示8种颜色的图形(条型、方块型)。
2、系统设计方案:方案一:采用传统的图像显示方法,将图像数据传回电脑在传输过程中通过CPU对图像数据信号进行控制,通过显示器显示出来。
方案二:采用FPGA和EDA的设计方法,通过FPGA器件控制RGB信号、行同步信号、场同步信号等信号,并参照有关标准,最终可以实现对VGA 的控制。
方案一为传统的图像显示方法,在传输过程中需要CPU不断对图像数据信号进行控制,这样就造成了CPU的浪费,同时系统还需要依赖电脑,降低了系统的灵活性。
方案二是利用可编程器件FPGA和EDA的设计方法,可以根据设计的需要设计出针对性比较强的VGA显示器,而且不需要依靠计算机,大大降低了成本提高了系统的灵活性。
综合上诉分析本设计中采用方案二。
3、系统的工作原理:计算机显示器的显示有许多接口标准,常见的有VGA、SVGA等。
EDA课程设计报告题目:#1——串口通信姓名:学号:班级:组员:串口通信一、设计要求设计一个全双工串口收发控制程序,速率为1200b/s、9600b/s、19200b/s可选,其中数据帧格式为:1位起始位+8位数据+1位奇偶校验位+1位停止位。
二、系统组成FPGA UART由3个子模块组成: 波特率发生器; 接收模块; 发送模块。
方框图如图1 所示。
波特率发生器比较接收模块发送模块图 1 UART 模块组成三、模块设计1. 顶层模块异步收发器的顶层模块由波特率发生器、UART接收和UART发送器构成。
UART 发送器的用途是将准备输出的并行数据按照基本UART 帧格式转为TXD 信号串行输出。
UART接收器接收RXD 串行信号, 并将其转化为并行数据。
波特率发生器就是专门产生一个远远高于波特率的本地时钟信号对输入RXD 不断采样, 使接收器与发送器保持同步。
电路图如图2所示。
2.波特率发生器波特率发生器实际上就是一个分频器。
可以根据给定的系统时钟频率(晶振时钟) 和要求的波特率算出波特率分频因子, 算出的波特率分频因子作为分频器的分频数波特率分频因子可以根据不同的应用需要更改。
本设计有三档,通sel[1..0]端口选择,模式一为1200b/s,模式二9600b/s,为模式三为19200b/s。
3.UART接收器由于串行数据帧和接收时钟是异步的, 由逻辑1 转为逻辑0 可以被视为一个数据帧的起始位。
然而, 为了避免毛刺影响, 能够得到正确的起始位信号, 必须要求接收到的起始位在波特率时钟采样的过程中至少有一半都是属于逻辑0 才可认定接收到的是起始位。
由于内部采样时钟bclk 周期(由波特率发生器产生) 是发送或接收波特率时钟频率的16 倍, 所以起始位需要至少8 个连续bclk 周期的逻辑0 被接收到, 才认为起始位接收到, 接着数据位和奇偶校验位将每隔16 个bclk 周期被采样一次(即每一个波特率时钟被采样一次) 。
《EDA课程设计》报告学号:姓名:班级:指导教师:2013年6 月24日- 2013年7 月5日目录1.引言 ............................................................................................................... 错误!未定义书签。
2.原理图的设计................................................................................................ 错误!未定义书签。
文件的新建............................................................................................... 错误!未定义书签。
原理图文件XX .Sch的建立 ..................................................................... 错误!未定义书签。
元件库的导入........................................................................................... 错误!未定义书签。
原理图中各元件的布局和连线 (3)3.原理图新元件的设计 (4)元件库XX .Lib文件的建立 (4)原理图元件的画法................................................................................... 错误!未定义书签。
注意事项................................................................................................... 错误!未定义书签。
数字系统设计基础实验报告实验名称: 1.组合电路设计___2.失序电路设计___3.计数器的设计___4.原理图设计加法器学号: ___ ********__ ____**: ___ **_______班级: __ 计科09-1班_____老师: __ ______中国矿业大学计算机学院2011年10月27日一.实验一: 组合电路的设计二.实验目的三.熟悉QuartusⅡ的VHDL文本设计流程全过程, 学习简单组合电路的设计、仿真和硬件测试。
四.实验任务任务1: 利用QuartusⅡ完成2选1多路选择器的文本编辑输入和仿真测试等步骤, 得出仿真波形。
最后在试验系统上进行硬件测试, 验证本项设计的功能。
五.任务2: 将此多路选择器看成是一个元件mux21a, 利用元件例化语句描述电路图, 并将此文件放在同一目录中。
六.对于任务中的例子分别进行编译、综合、仿真, 并对其仿真波形作出分析说明。
七.实验过程1.新建一个文件夹, 取名CNT10。
2.输入源程序。
3.文件存盘, 文件名为cnt10, 扩展名为.vhd。
八.创建工程, 按照老师要求对软件进行设置。
九.进行失序仿真, 得到仿真图形。
十.实验程序任务1:entity CNT10 ISport (a,b,s:in bit;y:out bit);end entity CNT10;architecture one of CNT10 isbeginprocess (a,b,s)if s='0' then y<=a; else y<=b;end if;end process;end architecture one;任务2:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUXK ISPORT (s0,s1: in STD_LOGIC;a1,a2,a3: in STD_LOGIC;outy: out STD_LOGIC );END ENTITY MUXK;ARCHITECTURE double OF MUXK ISSIGNAL tmpout,tmp:STD_LOGIC;BEGINu1: PROCESS(s0,a2,a3,tmp)BEGINIF s0='0' then tmp<=a2;else tmp<=a3;END IF ;END PROCESS u1 ;u2: PROCESS(s1,a1,tmp,tmpout)BEGINIF s1='0' then tmpout<=a1;else tmpout<=tmp; END IF ;END PROCESS u2 ;outy<=tmpout;END ARCHITECTURE double;十一.实验结果任务1:任务2:十二.实验体会在课堂上对于“EDA与VHDL”这门课的用处及用法一直一知半解, 课上对于一些编程也是学的很模糊, 因为学习过模拟电路与数字电路, 所以总认为器件仿真要用电脑模拟器件或者直接用实物, 但是通过本次实验对QuartusⅡ的初步接触, 了解了其功能的强大。
天津工业大学毕业实践实习报告N沟道MOS管工艺模拟与器件模拟班级:电科1103学号:1110940316姓名:汪兆明成绩:2015年4月1日一、实践目的熟练氧化、离子注入与扩散工艺,使用Silvaco软件进行模拟,掌握CMOS 工艺流程。
学会用Silvaco软件提取MOS晶体管的各种参数,掌握用SILVACO 工具对MOS晶体管进行器件模拟二、实践要求1、用Anthena构建一个NMOS管,要求沟道长度不小于0.8微米,阈值电压在-0.5v 至 1V之间。
2、工艺模拟过程要求提取S/D结结深、阈值电压、沟道表面掺杂浓度、S/D区薄层电阻等参数。
3、进行器件模拟,要求得到NMOS输出特性曲线族以及特定漏极电压下的转移特性曲线,并从中提取MOS管的阈值电压和β值。
4、分析各关键工艺步骤对器件性能的影响。
三、操作步骤1、启动silvaco软件。
2、创建一个网格并定义衬底的参数。
3、由于本实验运用了cmos工艺,所以先在衬底上做一个p阱,严格定义p阱的浓度,注入能量,以及阱区的推进。
4、生长栅氧化层,严格控制各参数。
diffus time=10 temp=950 dryo2 press=1.00 hcl.pc=35、淀积多晶硅,其厚度为0.2um。
6、刻蚀掉x=0.35左面的多晶硅,然后低剂量注入磷离子,形成轻掺杂层,剂量为3e13,能量为20kev。
7、淀积氧化层,然后再进行刻蚀,以进行下一步的源漏区注入。
8、进行源漏砷离子的注入,剂量为4e15,能量为40kev。
9、淀积铝,形成S/D金属接触。
10、进行向右镜像操作,形成完整的nmos结构并定义电极。
11、抽取源漏结深,阈值电压,n+区薄层电阻,沟道表面掺杂浓度,轻掺杂源漏区的薄层电阻等参数。
12、描述输出特性曲线并绘出。
13、描述转移特性曲线并绘出,同时从中提取MOS管的阈值电压和β值。
四.测试结果4.1 测试结果分析4.1.1.工艺图4.1.2.获取器件参数在这一部分,我们将提取这半个NMOS结构的一些器件参数,这些参数包括:a.结深b.N++源漏方块电阻c.边墙下LDD区的方块电阻d.长沟阈值电压计算结深计算结深的语句如下:extract name="nxj" xj silicon mat.occno=1 x.val=0.1 junc.occno=1获取N++源/漏极薄层电阻extract name="n++ sheet rho" sheet.res material="Silicon"mat.occno=1 x.val=0.05 region.occno=1测量沟道阈值电压extract name="n1dvt" 1dvt ntype vb=0.0 qss=1e10 x.val=0.49在这条extract语句中,1dvt指测量一维阈值电压;ntype指器件类型;x.val=0.49为器件沟道内一点;qss=1e10指浓度为1e10cm-3的表面态电荷;vb=0.0栅极偏置0V。
沟道表面掺杂浓度extract name="chan surf conc" surf.conc impurity="Net Doping" \ material="Silicon" mat.occno=1 x.val=0.45抽取参数nxj=0.34326 umn++ sheet rho=73.3932 ohm/squaren1dvt=0.607028 Vchan surf conc=6.14477e+016 atoms/cm3 4.1.3. NMOS输出特性曲线族ATLAS> # extract max current and saturation slope ATLAS>EXTRACT> init infile="nmos4.log"EXTRACT> extract name="nidsmax" max(i."drain") nidsmax=0.000442929EXTRACT> extract name="sat_slope"slope(minslope(curve(v."drain",i."drain")))sat_slope=1.47523e-005EXTRACT> quit抽取参数nidsmax=0.000442929sat_slope=1.47523e-0054.1.4. 转移特性曲线4.1.5. 提取MOS管的阈值电压和 值抽取参数nvt=0.583053nbeta=0.000113053nsubvt=0.08681594.2 Silvaco模拟仿真NMOS流程4.2.1.ATHENA的NMOS工艺仿真1. 衬底初始化默认情况下,材料为Silicon并且其晶向为<100>硅材料掺杂质Boron,这样就选择了硼为衬底的掺杂杂质,设置背景掺杂浓度为:1.0x1014atom/cm3。
选择space.mul=2。
这将强制使得仿真在两维中进行初始化信息如下所示。
#InitialSiliconStructurewith<100>Orientationinit silicon c.boron=1.0e14 orientation=100 two.d2 栅氧化将要在硅片的表面生长一层栅氧化层,这个工艺条件为950度下干氧氧化11分钟,环境为3%的HCL,一个大气压语句如下:#GateOxidationDiffu stime=11 temp=950 dryo2 press=1.00 hcl.pc=33 阈值电压调整我们将实现一个阈值电压调节注入的工艺,它是通过能量为10KeV,剂量为2x1011cm-2实现的。
硼杂质的掺杂分布将会如图所示显现出来。
4. 淀积多晶硅栅在NMOS工艺中,多晶硅的厚度约为0.22um。
语句如下:depo poly thick=0.22 divi=10 这里需要10个网络层来仿真杂质在多晶硅层中的传输。
5 多晶硅栅定义在NMOS工艺中,多晶硅的厚度约为0.22um。
语句如下:depo poly thick=0.22 divi=10 这里需要10个网络层来仿真杂质在多晶硅层中的传输。
6多晶氧化接下来定义多晶硅的栅极,将多晶硅栅极网格边缘定义为x=0.35um,中心网格定义为0.8um。
对多晶硅从左边x=0.35um开始刻蚀。
etch poly left p1.x=0.35刻蚀后的图形如下图:7 多晶掺杂在定义好多晶栅后,接下来的步骤是多晶注入前的多晶氧化多晶氧化。
氧化条件是3分钟,900度,1个大气压下的湿法氧化。
fermi模型通常用于没有损伤的衬底,并且掺杂浓度小于1x1020cm-3。
由于氧化是在一个图形化(即非平面)以及没有损伤的多晶上进行的,所以使用的模型将会是fermi以及compress,而compress模型用于模拟非等平面结构和2维的氧化工艺。
语句为:diffuse time=3 temp=900 weto2 press=1.08 侧离氧化层淀积在源极和漏极植入之前,需要进行侧墙隔离氧化层的淀积。
淀积的厚度为0.12um。
depo oxide thick=0.120 divisions=89侧墙氧化隔离的形成为了形成氧化隔离,必须进行干刻蚀。
刻蚀厚度为0.12um。
语句如下:etch oxide dry thick=0.12010源/漏极注入和退火现在,我们来通过注入砷进行源漏的注入,这会形成晶体管的n+源漏。
源漏注入砷注入的剂量使用:3x1015cm-3,注入能量为:50KeV.implant arsenic dose=5.0e15 energy=50 pearson源漏注入后接下来将是快速退火工艺,条件是:氮气气氛,1分钟,900度,1个大气压method fermi compressdiffuse time=1 temp=900 nitro press=1.011 金属化在形成源漏区域以后,下个工艺步骤是金属化这个区域金属化。
金属化工艺步骤是首先在源漏区域形成接触孔窗口。
为了在源漏区域形成接触孔窗口,将氧化层在x=0.2μm的位置刻蚀到左边etch oxide left p1.x=0.212获取器件参数在这一部分,我们将提取这半个NMOS结构的一些器件参数,这些参数包括:a.结深b.N++源漏方块电阻c.边墙下LDD区的方块电阻d.长沟阈值电压计算结深计算结深的语句如下:extract name="nxj" xj silicon mat.occno=1 x.val=0.1 junc.occno=1获取N++源/漏极薄层电阻extract name="n++ sheet rho" sheet.res material="Silicon"mat.occno=1 x.val=0.05 region.occno=1测量沟道阈值电压extract name="n1dvt" 1dvt ntype vb=0.0 qss=1e10 x.val=0.49在这条extract语句中,1dvt指测量一维阈值电压;ntype指器件类型;x.val=0.49为器件沟道内一点;qss=1e10指浓度为1e10cm-3的表面态电荷;vb=0.0栅极偏置0V。
沟道表面掺杂浓度extract name="chan surf conc" surf.conc impurity="Net Doping" \ material="Silicon" mat.occno=1 x.val=0.45抽取参数nxj=0.34326 umn++ sheet rho=73.3932 ohm/squaren1dvt=0.607028 Vchan surf conc=6.14477e+016 atoms/cm313 半个NMOS结构的镜像前面构建了半个NMOS结构,要得到完整的结构,就需要在向器件仿真器输出结构或电极命名之前完成。
语句如下:structure mirror right14保存ATHENA结构文件完成设计之后有必要对结构进行保存及初始化。
点击Save建立新的文件名nmos.str。
在文本编辑区里输入如下语句:structure outfile=nmos.str4.2.2. 使用ATLAS的NMOS器件仿真1 概述在这一部分,我们将对一个NMOS器件结构进行器件仿真1.产生简单的Vds=0.1V偏压下的曲线:Idvs.Vgs2.提取器件参数,例如Vt,Beta和Theta.d13.产生不同Vgs偏置情况下的Idvs.Vds曲线簇2 模型命令集(1) 设置模型对于简单的MOS仿真,推荐使用参数SRH和CVTSRH是ShockleyReadHall复合模型,而CVT模型是来自于Lombardi的反型层模型,这个CVT模型设置了通用的迁移率模型,包括了浓度、温度、平行电场和横向电场的影响。