SSI组合逻辑电路设计实验报告

  • 格式:doc
  • 大小:26.70 KB
  • 文档页数:6

下载文档原格式

  / 11
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

华中科技大学

电子线路设计、测试与实验》验报告《实

实验名称:SSI组合逻辑电路设计自动化学院院(系):1201 自实班专业班级:zj 姓名:

U2012145 学号:

时间:306 地点:南一楼东实验成绩:

指导教师:汪小燕

年2014 月410 日

SSI组合逻辑电路设计

一.实验目的

1.掌握用SSI(小规模数字集成电路)实现简单组合逻辑电路的方法。

2.掌握简单数字电路的安装和调试技术。

3.进一步熟悉数字万用表、示波器等仪器的使用方法。

4.熟悉用Verilog HDL描述组合逻辑电路的方法,以及EDA仿真技术。

二.实验元器件及条件

集成电路74HC00 1片;74LS04 1片

计算机、MAX+PLUSⅡ集成开发环境、可编程器件实验板及专用的在系统编程电缆

三、预习要求

1.按设计步骤,根据所给器件设计实验内容的逻辑电路图。

2.在附录C中查出74LS00、74LS04的引脚排列图。

实验说明四. 1.组合逻辑电路的设计流程

实际的逻辑问题

逻辑真值表

卡诺图逻辑表达式

编最简逻辑表达式

根据选定器件,变换逻辑表达式

逻辑电路图

用图SSI构成组合逻辑电路的设计过程

2.组合逻辑电路设计举例

(1)首先进行逻辑抽象。

(2)写出逻辑表达式。

(3)变换逻辑表达式。

(4)画出逻辑电路图。

(5)仿真验证。

(6)实验验证。

插板

在做完仿真之后,就可以根据设计的逻辑图选择相应的芯片进行插板,通过给不同输入高低电平组合来测输出电平的高低,从而检测是否符合实验要求。

五.实验内容

求反加1

1.根据给定的器件,设计一个能对4个输入进行取反加一,先进行实验仿真,然后用插板实现。

①真值表

输输

Data_in3Data_in2Data_in1Data_in0Data_out3Data_out2Data_out1Data_out0

00000000

10011011

00100111

11111000

00101001

11100110

01010101

10001111

01000100

10111100

00110101

11001101

00101100

11100011

01111000

1

1 1

1

1

②代码:

module MyOpposAdd1 (datain[3:0], dataout[3:0]);

input [3:0]datain;

output [3:0]dataout;

reg [3:0] dataout;

always @(datain) begin

case(datain)

4'b0000: dataout = 4'b0000;

4'b0001: dataout = 4'b1111;

4'b0010: dataout = 4'b1110;

4'b0011: dataout = 4'b1101;

4'b0100: dataout = 4'b1100;

4'b0101: dataout = 4'b1011;

4'b0110: dataout = 4'b1010;

4'b0111: dataout = 4'b1001;

4'b1000: dataout = 4'b1000;

4'b1001: dataout = 4'b0111;

4'b1010: dataout = 4'b0110;

4'b1011: dataout = 4'b0101;

4'b1100: dataout = 4'b0100;

4'b1101: dataout = 4'b0011;

4'b1110: dataout = 4'b0010;

4'b1111: dataout = 4'b0001;

endcase

end

endmodule

波形仿真结果:

以上的波形可以看出插板实现四位取反加一的基本功能。对照真值表可知符合要求。位二进制求反加一插板实现①真值表

输入输出

Data_out0

Data_out1

Data_in0

Data_in1

0 1 1 1

0 1 1 0

1

1

1

②电路图:

插板实现只需使用两块芯片5个逻辑门即可。

2.附加实验:2线-4线译码器

编程代码如下

仿真波形图如下:

从上面的波形图我们可以看出:与真值表一致。仿真下载完成。

六.实验中遇到的问题及处理方法

1.仿真下载较简单,问题不多,只是写代码时的文件名有误,不过很快就改正过来了,后面仿真下载也比较顺利。

2.插板时二极管一直不亮,于是我就用万用表一个个节点打了过去测电压,结果发现有一个二极管的两个管脚都是5V左右,原来是二极管短路了,属于操作失误,还好电路较简单,这也提醒了我实验操作时一定要小心仔细。

七.实验总结

通过本实验,仿真下载与插板实践,很好的将软件学习了一遍,也对相关硬件有了一定的了解,也对书上的知识点有了更深更具体的认识,对于后面的实验和今后的学习肯定会打下一个比较好的基础。.