74LS190交通灯控制电路的设计与仿真课程设计
- 格式:doc
- 大小:1.12 MB
- 文档页数:11
课程设计课题:交通灯控制器的设计一、设计目的:学习QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。
在现代化的大城市中, 十字交叉路口越来越多,在每个交叉路口都需要使用红绿灯进行交通指挥和管理,红、黄、绿灯的转换要有一个准确的时间间隔和转换顺序,这就需要有一个安全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。
二、设计任务:1.满足如下时序要求:南北方向红灯亮时,东西方向绿灯亮,反之亦然。
2.每一方向的红(绿)黄灯共维持30秒。
3.当某一方向绿灯亮时,置显示器为30秒,然后以每秒减1计数方式工作,直至减到数为3秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒,减到为0,红绿灯交换,一次工作循环结束,进入下一步另一方向的工作循环。
4.红绿黄灯均采用发光二极管。
5.设计由晶振电路产生1Hz标准秒信号的单元电路。
6.要求对整体电路进行仿真,观察并记录下仿真波形。
三、设计原理:交通灯有四个状态:G1 Y1 R1 G2 Y2 R2S1. 亮灭灭灭灭亮S2. 灭闪灭灭灭亮S3. 灭灭亮亮灭灭S4. 灭灭亮灭闪灭然后重复状态S1.●分频器分频器实现的是将高频时钟信号转换成底频的时钟信号,用于触发控制器、计数器和扫描显示电路。
该分频器将时钟信号分频成1HZ和4HZ的时钟信号。
●控制器控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段数码管的分位译码电路。
此外,当检测到为夜间模式时,手动控制点亮黄灯的二极管。
●计数器这里需要的计数器的计数范围为30-0。
计到0后,下一个时钟沿回复到30,开始下一轮计数。
此外,当检测到夜间模式时,计数器暂停计数,而系统复位信号使计数器异步清零。
四、电路设计1、分频单元电路设计2、30减计数单元电路设计3、红黄绿灯控制单元电路设计4、译码显示单元电路设计●设计流程五、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jiaotong isport(clk,clr:in std_logic;clk1,clk2,clk3:buffer std_logic; ---分频信号pout:out std_logic_vector(6 downto 1);---东西南北的红绿黄灯状态表示C1:out std_logic_vector(3 downto 0);---BCD码高四位表示C0:out std_logic_vector(3 downto 0));---BCD码低四位表示end jiaotong;architecture one of jiaotong issignal g1,y1,r1,g2,y2,r2:STD_LOGIC;---1表示东西方向,2表示南北方向,g,y,r分别表示绿灯,黄灯,红灯signal div:integer range 0 to 20000000; ---分频1signal divn:integer range 0 to 4000000; ---分频2,用于控制黄灯闪烁type st is(s1,s2,s3,s4);---分别表示红绿黄灯的四种组合状态signal state:st;beginC:process(clk)beginif clk'event and clk='1' then---对20HZ进行分频,1HZif(div<19999999)thendiv<=div+1;clk1<='0';else div<=0; clk1<='1';end if;if(divn<2499999)then ---用于黄灯闪烁divn<=divn+1;clk2<='0';else divn<=0; clk2<='1';end if;end if;end process;process (clk1) isvariable t:integer :=31; ---初始赋值beginif clr='1' then ---夜间时为黄灯闪烁,且为手动控制pout(6)<='0'; pout(5)<=clk2 and '1'; pout(4)<='0';pout(3)<='0'; pout(2)<=clk2 and '1'; pout(1)<='0';else if(clk1'event and clk1='1') thent:=t-1;case state is ---四种组合状态转换when s1 =>G1<='1'; Y1<='0'; R1<='0'; G2<='0';Y2<='0';R2<='1'; if(t=3) then state<=s2;else state<=s1;end if;when s2 =>G1<='0';Y1<='1';R1<='0';G2<='0';Y2<='0';R2<='1';if(t=0) then state<=s3;t:=30;else state<=s2;end if;when s3 =>G1<='0';Y1<='0';R1<='1';G2<='1';Y2<='0'; R2<='0';if(t=3) then state<=s4;else state<=s3;end if;when s4 =>G1<='0';Y1<='0';R1<='1';G2<='0';Y2<='1';R2<='0';if(t=0) then state<=s1;t:=30;else state<=s4;end if;when others =>NULL;end case;case t is ---十进制数与BCD码一一对应赋值,输出时便于七段显示译码器显示when 0 => C1<="0000";C0<="0000";when 1 => C1<="0000";C0<="0001";when 2 => C1<="0000";C0<="0010";when 3 => C1<="0000";C0<="0011";when 4 => C1<="0000";C0<="0100";when 5 => C1<="0000";C0<="0101";when 6 => C1<="0000";C0<="0110";when 7 => C1<="0000";C0<="0111";when 8 => C1<="0000";C0<="1000";when 9 => C1<="0000";C0<="1001";when 10=> C1<="0001";C0<="0000";when 11=> C1<="0001";C0<="0001";when 12=> C1<="0001";C0<="0010";when 13=> C1<="0001";C0<="0011";when 14=> C1<="0001";C0<="0100";when 15=> C1<="0001";C0<="0101";when 16 =>C1<="0001";C0<="0110";when 17 =>C1<="0001";C0<="0111";when 18 =>C1<="0001";C0<="1000";when 19 =>C1<="0001";C0<="1001";when 20 =>C1<="0010";C0<="0000";when 21 =>C1<="0010";C0<="0001";when 22 =>C1<="0010";C0<="0010";when 23 =>C1<="0010";C0<="0011";when 24 =>C1<="0010";C0<="0100";when 25 =>C1<="0010";C0<="0101";when 26 =>C1<="0010";C0<="0110";when 27 =>C1<="0010";C0<="0111";when 28 =>C1<="0010";C0<="1000";when 29 =>C1<="0010";C0<="1001";when 30 =>C1<="0011";C0<="0000";when others =>NULL;end case;end if;pout(6)<=G1; pout(5)<=clk2 and Y1;pout(4)<=R1; ---东西南北六盏灯对应pout(3)<=G2; pout(2)<=clk2 and Y2;pout(1)<=R2; end if;end process ;end one;六、测试方法与测试结果1、测试仪器:QUARTUSⅡ2、测试方法:FPGA下载验证与仿真验证3、测试结果:满足设计要求以20HZ为基准仿真:仿真结果:C1:显示30减计数的个位C0:显示30减计数的十位POUT(6):东西方向绿灯控制端POUT(5):东西方向黄灯控制端POUT(4):东西方向红灯控制端POUT(3):南北方向绿灯控制端POUT(2):南北方向黄灯控制端POUT(1):南北方向红灯控制端白天●夜间黄灯闪烁(手动控制)●设计满足了1.30秒倒数显示2.两个方向灯的交替3.黄灯在最后3秒闪烁七、讨论该电路基本上满足了设计要求,电路简单,实现容易,节省器件。
电工学(少学时)课程设计中国人民公安大学交通灯控制逻辑电路设计设计要求和技术指标1、技术指标:设计一个十字路口的交通灯控制电路,每条道路上各配有一组红、黄、绿交通信号灯,其中红灯亮,表示该道路禁止通行;黄灯亮表示该道路上未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯表示该道路允许通行。
该电路自动控制十字路口两组红、黄、绿交通灯的状态转换,实现十字路口自动化。
2.、设计任务与要求一.基本功能1.设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒;2.要求黄灯先亮5秒,才能变换运行车道;3.黄灯亮时,要求每秒钟闪亮一次。
二.基本扩展功能1.信号灯的倒计时2.进行数字显示三.特色扩展功能1.定时控制信号周期。
实际应用:我们灯控路口的每天都存在着低峰时段(如夜间),不需要设置信号灯的周期,以便节省能源。
我们设计在一个周期的某一时间段内,将交通信号灯自动关闭。
(第8个周期运行,第1-7个周期停止运行)实现手动对关闭周期的时间控制。
(周期在20和40之间通过开关控制)2.定时控制信号周期,实现在一个时段内的不对称周期。
实际应用:我们灯控路口的每天都存在着某时段(如两个车道中的一个车道需要长周期),便于交通。
我们设计在一个周期的某一时间段内,将交通信号灯变为不对称的信号(A 车道为70秒,B车道为30秒)。
暂时设置为(第8个的半个周期(30秒)(自动设置为半个周期)运行,加第7个的上半周期(70秒),形成一个不对称周期。
第7个下半周期和1-6个周期正常运行)目录一、交通灯的组成 (4)二、单元电路的设计 (7)1、秒脉冲发生器 (7)2、定时器 (8)3、控制信号发发生器 (10)4.控制绿灯显示器 (13)5.控制器 (14)6、附加功能(1) (17)7、附加功能(2) (18)三、体会总结...................................................................... .. (14)四、鸣谢...................................................................... . (16)五、参考文献...................................................................... (17)一.交通灯的组成交通灯控制系统的原理框图如图12、1所示。
目录一、课程设计时间 (1)二、课程设计题目 (1)三、设计任务、要求及器件 (1)四、课程设计的电路及工作原理 (2)五、设计中出现的问题 (8)六、心得体会 (8)一、课程设计时间:5月25 日、5月27日、6月1日、6月3日二、课程设计题目:交通灯控制电路的设计与仿真三、设计任务、要求及器件1、设计任务与要求1〕、能显示十字路口东西、南北两个方向的红、黄、绿的指示状态,用两组红、黄、绿三色灯来表示两个方向上的交通灯;2〕、能实现正常的倒计时功能,用两组数码管作为东西和南北方向的到计时显示,并且对红、绿灯的时间可调,在每次由绿灯变成红灯或相反的过度转换过程中,要亮黄灯2秒作为过渡;3〕、能实现由手动控制红、绿的状态,即到达能手动切换交通灯的特殊状态的功能;2、用的器件及芯片,见表一表一数码管脉冲源逻辑与门逻辑或门灯逻辑或门电平开关开关四、课程设计的电路及工作原理交通灯控制电路主要由循环局部电路、减数器和显示〔数码管〕等局部组成。
用到的信号是时钟信号,脉冲发生器是提供给该系统中减数器的标准时钟信号源,循环电路局部是系统的主要局部,由它控制定红、黄绿、灯的往复工作,减数器与数码管组成不同进制与时间显示。
即74194输出用于点亮红、黄、绿灯和控制灯点亮同时进展的倒计数,倒计数及灯点亮时间的设置可由74190完成,手动脉冲和74190的进位输出脉冲又可用于去鼓励74194进位输出,如此往复形成红绿灯的循环点亮控制。
1、往复循环电路及原理在设计中用到起移位作用的芯片是双向移位存放器74LS190,用此芯片来控制灯的移动,可搭建出单循环和往复循环两种构造电路。
双向移位存放器74194 为 4 位双向移位存放器,其主要电特性的典型值如下:当去除端〔CLEAR 〕为低电平时,输出端〔QA -QD 〕均为低电平。
当工作方式控制端〔S0、S1〕均为高电平时,在时钟〔CLOCK 〕上升沿作用下,并行数据〔A -D 〕被送入相应的输出端QA -QD 。
目录1设计任务及指标 (1)2交通灯控制电路分析 (2)2.1交通灯运行状态分析 (2)2.2电路工作总体框图 (3)2.3方案比较 (3)3交通灯控制电路设计 (4)3.1 电源电路 (4)3.2 脉冲电路 (4)3.3 分频电路 (6)3.4 倒计时电路 (6)3.5 状态控制电路 (8)3.6 灯显示电路 (9)3.7 总体电路图 (11)4实验数据和误差分析 (12)5课程设计的收获、体会和建议 (13)6致谢 (16)7参考文献 (17)8附录 (18)1 设计任务及指标设计一个东西方向和南北方向十字路口的交通灯控制电路。
要求如下:(1)南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30s、支干道每次通行间为20s;(2)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法);(3)在绿灯转为红灯时,要求黄灯先亮5s钟,才能变换运行车道;(4)黄灯亮时,要求每秒闪亮一次;(5)同步设置人行横道红、绿灯指示。
(6)设计相关提示:所设计的交通路口为一十字路口,不涉及左右转弯问题。
2 交通灯控制电路分析2.1 交通灯运行状态分析交通灯控制电路,要求每个方向有三盏灯,分别为红、黄、绿,配以红、黄、绿三组时间到计时显示。
一个方向绿灯、黄灯亮时,另一个方向红灯亮。
每盏灯顺序点亮,循环往复,每个方向顺序为绿灯、黄灯、红灯。
交通灯的运行状态共有四种,分别为:状态0:东西方向车道的绿灯亮,车道,人行道通行;南北方向车道的红灯亮,车道,人行道禁止通行。
状态1:东西方向车道的黄灯亮,车道,人行道缓行;南北方向车道的红灯亮,车道,人行道禁止通行;状态2:东西方向车道的红灯亮,车道,人行道禁止通行;南北方向车道的绿灯亮,车道,人行道通行;状态3:东西方向车道的红灯亮,车道,人行道禁止通行;南北方向车道的黄灯亮,车道,人行道缓行;4种状态循环往复,并且红灯的倒计初始值为绿灯的倒计初始值和黄灯的倒计初始值之和。
成绩评定表课程设计任务书目录1课程设计目的 (1)2总体方案的设计 (1)3单元电路的设计 (3)3.1秒脉冲产生电路 (3)3.2计时器部分电路 (4)3.3主控电路 (5)3.4红绿灯显示电路 (5)4 protel软件实现过程 (6)4.1protel 99 SE简介 (6)4.2protel制作电路图 (7)4.2.1绘制电路的原理图(*.SCH) (7)4.2.2元件封装 (10)4.2.3原理图的检查 (10)4.3pcb双面印刷版制作 (11)4.3.1创建pcb文件 (11)4.3.2生成网络表 (12)4.3.3设置pcb设计环境 (13)4.3.4布置零件封装位置 (13)4.3.5自动布线 (15)5设计体会 (17)6参考文献 (18)1课程设计目的1. 综合应用数字电路知识设计一个交通灯控制器。
了解各种元器件的原理及其应用。
2. 深入了解交通灯的工作原理。
3. 熟悉protel环境。
绘制交通灯控制器电路原理图*.sch和相应的双面印刷板图*.pcb。
4.锻炼自己的动手能力和实际解决问题的能力。
2总体方案的设计(1)通过分析系统的逻辑功能,画出其机构框图如图1。
交通灯控制系统的原理框图如图1所示。
它主要由秒脉冲信号发生器、定时器、控制器、译码器和计时显示电路等部分组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图1 系统的原理框图(2)具体分析1. 东西干道绿灯亮,南北干道红灯亮。
南北干道禁止通行。
绿灯亮规定的时间隔30s时,转到下一工作状态。
2. 东西干道黄灯亮,南北干道红灯亮。
南北干道禁止通行。
黄灯亮足规定时间间隔2s时,转到下一工作状态。
3. 东西干道红灯亮,南北干道绿灯亮。
表示东西干道禁止通行,南北干道上的车辆允许通行绿灯亮规定的时间间隔30s时,转到下一工作状态。
4. 东西干道红灯亮,南北干道黄灯亮。
交通灯控制器+数字电路课程设计报告交通灯控制器是交通管理系统中的重要组成部分,其主要作用是控制道路上的交通信号灯。
随着数字电路技术的发展,交通灯控制器也逐渐向数字化、智能化方向发展。
本文将详细介绍一种基于数字电路的交通灯控制器设计,以及该设计方案的实现和效果。
一、设计方案1.硬件设计硬件设计方案主要包括数字电路的选择、交通灯的控制模块、传感器等。
本方案选用FPGA芯片作为控制芯片,该芯片具有先进的数字信号处理能力和可编程性,便于开发和定制。
交通灯的控制模块包括红灯、黄灯、绿灯三个信号灯的控制器,以及车辆、行人传感器等。
其中车辆传感器主要用来检测车流量,行人传感器主要用来检测行人通行情况。
2.软件设计软件设计方案主要包括程序的设计和调试,以及人机界面的设计和开发。
程序设计方案采用Verilog HDL语言进行实现,采用时序逻辑设计的思路来编写程序,实现红绿灯的控制和状态转移。
人机界面采用C语言进行编写,通过串口通信与控制芯片进行数据传输和控制。
二、实现过程在设计方案确定后,我们进一步开始实现。
首先是电路的焊接和测试,在确定电路正常无误后,再完成程序的编写和调试。
最后是人机接口的开发和完善。
具体实现流程如下:1.电路焊接首先进行电路布线和焊接,将FPGA芯片、光耦隔离器、电位器等元器件焊接到电路板上,以及信号灯、传感器等元器件的接入。
2.程序编写利用Verilog HDL语言编写程序,主要包括红绿灯状态的转移逻辑和相应的信号输出控制。
程序设计过程中,需要注意时序和状态的转移。
3.调试测试完成程序编写后,需要进行相应的调试测试。
通过仿真测试,检查程序逻辑是否正确,排除潜在问题。
在硬件实验平台上进行测试,确定系统能够正常工作。
4.人机界面开发利用C语言编写人机界面,实现与交通灯控制器的交互控制。
实现车辆、行人传感器的数据采集和显示,以及人手动控制交通灯的功能。
三、实现效果通过测试和实验验证,本文的交通灯控制器设计方案具有以下优势:1.使用FPGA芯片作为控制芯片,具有较强的可编程性和数字信号处理能力。
目录摘要 (3)第一章设计任务与要求 (4)第二章基本方案 (4)2. 1方案一 (4)2. 2方案二 (4)2. 3方案三 (4)第三章方案选择 (4)第四章电路原理图 (4)4. 1计数模块电路 (4)4. 2 红灯闪烁电路 (5)4. 3数码管显示电路 (5)4. 4 194模块 (8)4. 5主体电路图 (10)4. 6元器件清单 (10)第五章电路调试 (11)5. 1 Protues软件仿真 (11)5. 2硬件电路调试 (11)5.2.1 测试电路 (12)5.2.2硬件测试出现问题及解决方案 (14)第六章电路测试后总结 (15)6. 1设计小结 (15)6. 2设计缺点 (15)6. 3系统性能分析 (15)参考文献 (15)摘要中文摘要:在城市交通道路中,由两条道路的汇合点所形成的十字交叉路口很多。
为了确保交通安全及车辆的迅速、有效的通行,在交叉路口的每个入口处需要设置红、绿、黄三色信号灯。
对于机动车来说,红灯亮时,禁止通行;绿灯亮时,则允许通行;黄灯亮时,则提示司机将行使中的车辆减速并准备停下来。
十字路口交通灯的控制逻辑采用数字电路很容易实现。
根据交通灯控制的点功能不同,有简单的红、黄、绿三色交通灯控制电路。
有带时间显示的控制电路;有主干道与支干道通行时间不同的控制电路;有带时间转换功能的交通灯控制电路;有手动控制电路。
一般交通灯控制电路主要由定时器、控制器、译码和显示几个部分组成。
关键词:交通灯定时器控制器English abstract:Cross intersection formed by the confluence of two roads point a lot of urban traffic road. Each entrance of the intersection, in order to ensure traffic safety and vehicle rapid, effective access need to set the red, green, and yellow signal lights. For motor vehicles, for the red light, closed to traffic; When the green light is allowed access; yellow light, prompting the driver will exercise in the vehicle to slow down and prepare to stop.Crossroads traffic light control logic digital circuit is very easy to implement. Depending on the traffic light control point function, a simple red, yellow and green traffic lights control circuit. With time display control circuit; access main roads and branch roads at different times of the control circuit; traffic light control circuit with time conversion functions; manual control circuit. General traffic light control circuit by timer, controller, decode and display several partsThe adjustable power products can be widely applied in defense, scientific research, colleges and universities, laboratories, industrial and mining enterprises, electrolysis, electroplating, charging equipment, etc. Keywords: Traffic light timer controller一、设计任务与要求1.按照红-绿-黄顺序轮流点亮2.东西向绿灯与南北向红灯不同时亮,南北向绿灯与东西向红灯不同时亮3.东西向黄灯点亮时南北向红灯闪烁,南北向黄灯点亮时东西向红灯闪烁4.当绿灯亮时数显开始倒计时二、基本方案2.1方案一用晶振产生频率,cd4060和741s74产生1HZ时钟频率,用移位寄存器74ls164来控制。
河南科技学院新科学院电子课程设计报告题目:交通灯控制器设计专业班级:电气工程及其自动化094姓名:胡金友时间:2011.6.8 ~2011.6.18指导教师:孔晓红苗青林完成日期:2011年6月15日交通灯控制器设计任务书1设计目的与要求设计一个交通灯控制器,要认真并准确地理解有关要求,独立完成系统设计,在双干线的路口上,交通信号灯的变化按照下面假定进行计时:(1)放行线,绿灯亮放行25秒,黄灯亮警告5秒,然后红灯亮禁止。
(2)禁止线,红灯亮禁止30秒,然后绿灯亮放行。
使两条路线交替的成为放行线和禁止线,便可实现交通控制。
(3)特殊情况下能实现手动操作。
2.设计内容(1)画出电路原理图,正确使用逻辑关系;(2)确定元器件及元件参数;(3)进行电路模拟仿真;(4)SCH文件生成与打印输出;(5)PCB文件生成与打印输出。
3.编写设计报告写出设计的全过程,附上有关资料和图纸,有总结体会。
4.答辩在规定时间内,完成叙述并回答问题。
目录1设计任务 (2)1.1交通灯控制器设计任务书 (2)1.2引言 (3)2设计方案 (3)2.1总体设计方案说明 (4)2.2模块结构与电路图 (5)3整体电路 (10)4设计总结 (11)5参考文献 (11)交通灯控制器设计摘要:为确保车辆安全,行人安全有序地通过城市交通叉路口,本设计介绍一种线路简单、成本低、体积小、可靠性高的全电子指挥信号灯控制器。
利用74LS190、74LS139、NE555等芯片简易的实现交通指挥信号灯的全自动化控制。
关键词:交通灯、计时器、控制器、秒脉冲1引言生活中跟大家关系最为密切的交通是道路,因为每个人都要走路,所以交通灯就尤其重要.交通灯是控制陆地交通的枢纽,如果没有交通灯的出现,那么现在社会人类恐怕连路都不好走了.特别是上下班高峰时候,交通就是非常拥挤,这个时候交通灯就起了关键作用.它起了分流交通作用,不会造成交通堵塞.它按照上下班高峰期、顺畅期等交通流量的不同而设置各车道的通行时间,那样以后车辆就会各就各位,不会有什么抢道和车辆碰撞啦,还有车与人争过街的事情发生啦,交通就会井然有序了,人们走路就安心多了,汽车就会更快的到达。
交通灯控制器数电课程设计交通灯控制器是一个常见的数电课程设计项目,下面是一个简单的交通灯控制器的设计方案:1. 需求分析:- 交通灯要能够按照规定的时间间隔不断切换状态。
- 交通灯的状态包括红灯、黄灯和绿灯,分别对应停止、警告和通行状态。
- 红灯、黄灯和绿灯的时间间隔可以根据实际需要进行调整。
2. 设计方案:- 使用数字时钟芯片,如NE555,来生成固定频率的时钟信号。
- 使用多路选择器,如74LS151,来选择不同的灯的状态输出。
- 使用逻辑门电路,如与门和或门,来实现灯的状态切换。
3. 设计步骤:- 使用时钟芯片来产生一个频率为1Hz的时钟信号。
- 使用分频器电路,如74LS90,将时钟信号的频率分为三等份,分别用于控制红灯、黄灯和绿灯的持续时间。
- 使用多路选择器74LS151,根据时钟信号的状态与分频器的控制信号,选择对应的灯输出高电平或低电平。
- 使用逻辑门电路,通过组合逻辑将时钟信号和选择器输出的灯状态进行控制,实现交通灯的状态切换。
4. 硬件设计:- 使用电路实验板、面包板或PCB板等硬件平台进行电路连接。
- 导入时钟芯片、分频器、多路选择器和逻辑门等器件。
- 连接器件之间的引脚,构建交通灯控制器电路。
5. 软件设计:- 使用VHDL、Verilog或其他HDL语言进行交通灯控制器的逻辑设计和仿真。
- 根据交通灯的时序要求设置时钟频率、分频器的初始状态和选择器的状态等参数。
- 通过仿真软件进行功能验证和时序分析,优化电路设计。
6. 实现与调试:- 将硬件连接完成后,使用示波器、逻辑分析仪等仪器对电路进行调试。
- 观察交通灯的状态是否按照预期进行切换。
- 根据实际需要调整各个灯的持续时间和时钟频率等参数,进行效果调试。
7. 总结:- 对交通灯控制器的设计进行总结和评估,包括可靠性、灵活性和可扩展性等方面。
- 提出改进方案,进一步优化交通灯控制器的设计。
注意事项:- 在设计过程中,要遵守相关的电路布线规范和安全操作规程。
交通灯控制器数电课程设计一、引言交通灯控制器是城市交通管理中的重要设备,用于控制道路上的交通信号灯的亮灭状态。
本文将基于数电课程设计一个简单的交通灯控制器电路,并介绍其原理和实现过程。
二、设计原理交通灯控制器的设计需要考虑以下几个方面的因素:1. 灯的亮灭状态:交通灯通常包括红灯、黄灯和绿灯,每种灯的亮灭状态需要根据交通规则进行控制。
2. 灯的切换时间:交通灯的切换时间需要合理设置,以保证交通流畅和安全。
3. 输入信号的获取:交通灯控制器需要根据外部输入信号来控制灯的切换,如道路上的车辆、行人等。
三、电路设计1. 时钟电路:交通灯控制器需要一个时钟信号来控制灯的切换时间。
可以通过使用555定时器构建一个稳定的时钟电路。
2. 计数器电路:交通灯控制器需要一个计数器来计算时间,并根据时间来控制灯的切换。
可以使用74LS90或74LS93等计数器芯片实现。
3. 逻辑门电路:交通灯控制器需要逻辑门电路来实现交通灯状态的控制和切换。
可以使用与门、或门、非门等逻辑门芯片来实现。
四、实现过程1. 时钟电路的设计:根据555定时器的工作原理,选择合适的电阻和电容值,构建一个稳定的时钟电路。
2. 计数器电路的设计:根据交通灯的切换时间要求,设置计数器的计数值,并将计数器与时钟电路连接,实现计数器的工作。
3. 逻辑门电路的设计:根据交通灯的状态要求,使用逻辑门芯片构建一个交通灯控制电路,实现交通灯的切换和控制。
4. 输入信号的获取:可以使用传感器等设备来获取道路上的车辆、行人等输入信号,并将其与交通灯控制器连接,实现灯的切换。
五、功能扩展1. 灯的数量扩展:可以根据实际需要,扩展交通灯的数量,如添加左转灯、右转灯等。
2. 信号优先级控制:可以根据不同道路的交通状况,设置交通灯的信号优先级,以提高交通效率。
3. 线路保护功能:可以在交通灯控制器中添加线路保护装置,以防止线路过载或短路等故障。
六、总结本文基于数电课程设计了一个简单的交通灯控制器电路,并介绍了其原理和实现过程。
《电子技术》课程设计报告题目简易交通信号灯控制器学院(部)专业班级学生姓名学号6 月18 日至 6 月22 日共 1 周指导教师(签字)摘要交通运输是国家经济发展的动力,日常出行也是我们生活中不可或缺的一部分。
在确保十字路口的交通秩序工作中,信号灯扮演了极其重要的角色。
交通信号控制系统是用来自动控制十字路口红黄绿三色的电子系统。
交通灯简易交通信号灯控制器利用555秒脉冲发生器提供秒脉冲CP信号,为计数器提供工作频率。
计数器由两块74LS190级联构成八位二进制,实现倒计数;显示电路由两个十六进制数码管构成,可以显示00-99之间的数字;控制电路由74LS161构成的循环电路控制三种灯的开关;置数电路由相应数量的74LS465与74LS138译码器构成置位电路使得主干道在45-0秒为绿灯,5-0秒时为黄灯,30-0秒时为红灯,相应的次干道与主干道相配合,在50-0秒时为红灯,在25-0秒时为绿灯,在5-0秒时为黄灯,通过共用CP脉冲,实现对主干道与支干道交通信号灯的联合控制。
关键字根据设计原理,系统的关键字有以下几个:交通信号灯秒脉冲递减计数分时置数控制器目录1.课题名称以及设计要求 (4)1.1课题名称 (4)1.2设计要求 (4)2.系统概述 (4)2.1总体方案的选择 (4)2.2系统总体结构框架 (5)2.3系统基本工作原理 (6)3.单元电路设计与分析 (7)3.1 秒脉冲发生器单元 (7)3.2倒计数以及显示单元 (8)3.3 信号灯控制单元 (9)3.4 顺序定时置数置数单元 (10)3.5 黄灯闪烁以及蜂鸣提示单元 (12)4.系统仿真 (13)4.1仿真说明 (13)4.2 仿真示意图 (14)5.系统综述以及总体电路图 (15)5.1系统综述 (15)5.2 总体电路图 (17)6.结束语 (18)7.元件明细表 (18)7.1 元件明细列表 (18)7.2 元件说明 (19)8.参考文献 (19)9.收获体会与总结 (20)9.1收获体会 (20)9.2问题反思以及对未来交通信号控制的思考 (20)10.鸣谢 (21)一.课题名称以及设计要求1.1课题名称简易交通灯信号控制器1.2设计要求简易交通信号灯控制,主要实现功能如下:1. 定周控制:主干道绿灯45秒,支干道绿灯25秒;2. 每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡;3. 分别用红、黄、绿色发光二级管表示信号灯;4. 设计计时显示电路。
摘要在今天的交通情况下,很多路口都出现拥堵和秩序混乱的情况,由此可见交通灯在生活中的重要性。
我们本次课程设计的题目是交通灯控制器设计,要求设计并制作主/支交通信号灯控制器。
我们小组成员通过共同交流和努力,完成了仿真图的设计、电路板的焊接、原理图的绘制。
在由主干道和支干道汇成的十字路口,主、支道分别装有红、绿、黄三色信号灯,并完成数码管的置数。
通过本次课设,我们小组成员对数字电路的知识有了更深刻地了解。
明白了在课设的各个阶段,我们都必须对元器件的原理非常了解.目录1 设计内容及要求 (1)2 方案论证 (1)3 单元设计电路 (2)3.1 总原理 (2)3.2 控制电路 (3)3.3 时钟产生电路 (3)3。
4 显示电路 (4)3.5 器件 (5)3。
5.1可预置的十进制同步计数器74LS160 (5)3。
5。
2 3 线-8 线译码器74LS138 (5)3.5.3双时钟方式的十进制可逆计数器74LS192 (bcd,二进制) (6)3。
5。
4 七段码译码器CD4511 (6)4 组装及调试 (7)4.1 通电前检查 (7)4.2 通电检查 (7)4.2。
1 555电路模块的检查 (7)4。
2。
2 CD4511的检查 (7)4。
2.3 74LS192的检查 (8)4.2.4 控制电路及相关门电路的检查 (8)4.2。
5 发光二极管的检查 (9)4。
3 结果分析 (9)5 设计总结 (10)5.1 体会 (10)5.2 设计电路的特点和方案的优缺点 (11)5.3 改进方法 (11)参考文献、附录Ⅰ、附录Ⅱ.................................................................. 错误!未定义书签。
1 设计内容及要求设计并制作主/支交通信号灯控制器。
在由主干道和支干道汇成十字路口,主、支干道分别装有红、绿、黄三色信号灯.红灯亮禁止通行,绿灯亮允许通行,黄灯亮则停止行驶(给行驶中的车辆有时间停在禁行线以外).具体要求如下:(1)主、支干道交替允许通行。
74系列交通灯课程设计一、课程目标知识目标:1. 学生能理解74系列集成电路的基本工作原理及其在交通灯控制中的应用。
2. 学生能够掌握交通灯信号变化的逻辑关系,并运用74系列电路进行模拟。
3. 学生能够描述74系列电路的电气特性,理解其在实际电路设计中的作用。
技能目标:1. 学生能够运用所学知识设计简单的交通灯控制系统,并进行模拟调试。
2. 学生通过实际操作,培养电路连接、测试和故障排查的能力。
3. 学生能够运用图表、流程图等工具表达电路设计和分析结果。
情感态度价值观目标:1. 学生在课程中培养对电子技术的兴趣,增强科技创新意识。
2. 学生通过团队协作完成设计任务,提升沟通与协作能力,培养团队精神。
3. 学生认识到电子技术在日常生活中的应用,增强社会责任感。
课程性质:本课程为电子技术实践课,旨在通过实际操作,使学生掌握74系列集成电路的应用,提高学生的动手能力和创新能力。
学生特点:考虑到学生所在年级,已具备一定的电子基础,对新技术有较高的好奇心和探索欲。
教学要求:注重理论与实践相结合,强调学生的动手实践能力。
通过课程学习,使学生能够将所学知识应用于实际电路设计中,提高解决问题的能力。
教学过程中,关注学生的个体差异,鼓励学生提问、思考,培养其独立分析和解决问题的能力。
二、教学内容1. 交通灯控制系统基础知识- 交通灯信号逻辑关系介绍- 74系列集成电路原理及应用2. 74系列集成电路特性- 74系列集成电路的种类与功能- 电气特性参数解析3. 交通灯控制系统设计- 设计要求与电路原理- 74系列集成电路在交通灯控制中的应用4. 实践操作与调试- 电路连接与测试方法- 故障排查与解决方案5. 教学案例分析- 分析实际交通灯控制系统案例- 总结设计过程中的注意事项教学内容安排与进度:第一课时:交通灯控制系统基础知识,74系列集成电路原理及应用第二课时:74系列集成电路特性,电气特性参数解析第三课时:交通灯控制系统设计,74系列集成电路在交通灯控制中的应用第四课时:实践操作与调试,电路连接与测试方法第五课时:故障排查与解决方案,教学案例分析教材关联章节:第一章:电子技术基础第二章:数字电路与逻辑设计第三章:集成电路及其应用第四章:交通灯控制系统设计实例教学内容依据课程目标,注重科学性和系统性,结合教材章节,合理安排教学进度,旨在帮助学生将理论知识与实际应用相结合,提高电子技术实践能力。
交通灯控制电路设计数电课程设计+数字电路课程设计第一篇:交通灯控制电路设计数电课程设计+数字电路课程设计目录一、课程题目 (2)二、设计要求 (2)三、系统框图及说明 (2)四、单元电路设计 (4)五、仿真过程与效果分析 (12)六、体会总结 (13)七、参考文献 (13)《一》课程设计题目:交通灯控制电路设计《二》设计要求:1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。
2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;3、黄灯亮时,要求每秒闪亮一次。
4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。
5、同步设置人行横道红、绿灯指示。
《三》系统框图及说明:1、分析系统的逻辑功能,画出其框图交通灯控制系统的原理框图如图1-1 所示。
它主要由计时电路、主控电路、信号灯转换器和脉冲信号发生器组成。
脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。
(图1-1)2、信号灯转换器状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道通行,人行道禁止通行S3:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的黄灯亮,车道缓行, 人行道禁止通行G1=1:主干道绿灯亮 Y1=1:主干道车道黄灯亮R1=1:主干道车道红灯亮,人行道绿灯亮;南北方向人行道红灯亮G2=1:支干道车道绿灯亮Y2=1:支干道车道黄灯亮R2=1:支干道车道红灯亮,人行道绿灯亮;东西方向人行道红灯亮四.单元电路设计1.主控电路:1).原理:通过一片 74LS160,选择其 4 个状态、分别为(00 01 10 11)分别表示主绿支红、主黄支红、主红支绿、主红支00->(30 秒)01->(5 秒)10->(20 秒)11(5 秒){循环图}。
十字路口交通灯控制系统设计本课题是基于数字电路关于交通灯控制电路的设计。
从课程设计的题目要求出发,设计了一个南北方向和东西方向十字路口的交通灯控制电路。
通灯控制电路采用74LS190 可预置四位二进制双时钟可逆计数器实现计数功能,并通过一系列集成逻辑门电路芯片控制红绿灯的交替显示。
本交通灯控制电路系统是基于74LS190 可预置四位二进制双时钟可逆计数器、74ls00 二输入四与非门芯片、74ls04 六反向器、74ls08 二输入与门芯片、74ls32 二输入或门芯片、74ls74 双 D 锁存器、74ls47 译码器等中小规模集成芯片,数码管显示器和绿黄红发光二极管指示灯所组成的电路,采用proteus 软件进行电路仿真。
通过对各部分电路的分析设计,实现总体电路的功能。
由于不需要做实物才用了1HZ 输出时钟脉冲信号(查了一些资料采用了555 定时器)。
采用74LS190 组成计数电路,通过一系列逻辑门电路实现红绿灯的交替显示。
按照课题的要求,用74LS190 设计了倒计时电路,通过译码器连接数码管显示红绿灯的倒计时。
它的计时周期为24 秒,南北及东西方向各车道通车12s 。
本电路设计的优点是,用了尽量少的芯片实现了课题所要求的功能,既实现了红绿灯的指示,又以倒计时的方法对红绿灯的时间进行了显示。
一. 设计的基本内容1.1 设计题目十字路口交通灯控制设计1.2 设计任务1.2.1 设计任务及指标(1)要求南北方向车道和东西方向车道两条交叉道路上的车辆交替运行,各车道每次通行时间都设为12 秒;(2)东西方向、南北方向车道都有红、黄、绿灯指示,且用显示器进行显示允许通行或禁止通行剩余时间(采用倒计时的方法)(3)在允许通行剩余2秒时,绿灯转为黄灯,且要求黄灯闪烁,当黄灯转变为红灯时才能变换运行车道;(4)黄灯亮时,要求每秒闪亮一次;1.2.2 设计相关说明所设计的交通路口为一十字路口是一南北和东西车道车流没有明显差别的路口,且不涉及左右转弯及人行道红绿灯问题。
目录摘要: (3)1.设计任务的基本要求 (4)1.1设计任务 (4)1.2基本要求 (4)2.工作原理 (4)2.1设计要求 (4)2.2 整体工作原理 (4)3.电路设计 (5)3.1秒信号产生器 (5)3.2状态控制器设计 (6)3.3状态译码器 (7)3.4定时系统 (9)5.整体原理图 (10)6.元件功能介绍 (10)6.1 CD4029功能介绍 (10)6.2 74LS245功能介绍 (11)6.3 NE555定时器功能介绍 (11)6.4 74LS47的外引线排列图如图6.4.1所示。
(12)6.5 74LS00与非门 (12)6.6 74LS04反相器功能介绍 (13)6.7 74LS192功能介绍 (13)7.元件清单 (14)8.总结: (14)9 参考文献 (15)10 附加交通灯整体原理图原理图 (16)交通灯控制电路摘要:在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。
因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。
有了交通灯人们的安全出行有了很大的保障。
自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。
尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。
因此,在本次课程设计里,将以传统的设计方法为基础,同时引入了电子设计自动化技术,将模拟信号转化为数字信号,利用了数字逻辑这一强大工具,同时还运用了protel软件和一些数字逻辑器件,来设计了可控制的交通信号灯。
1.设计任务的基本要求1.1设计任务设计一个十字路口交通灯信号控制器,控制车辆安全快速的通过。
1.2基本要求为了确保车辆安全快速的的通行,在十字交叉路口的每个入口处设置红,绿,黄三种信号灯,并安装时间数字时间显示,来达到下列的基本要求:●红灯表示禁止通行,绿灯表示允许通行,黄灯提醒司机把车辆停靠在禁行线以内。
目录一、课程设计时间 (1)二、课程设计题目 (1)三、设计任务、要求及器件 (1)四、课程设计的电路及工作原理 (2)五、设计中出现的问题 (8)六、心得体会 (8)一、课程设计时间:5月25 日、5月27日、6月1日、6月3日二、课程设计题目:交通灯控制电路的设计与仿真三、设计任务、要求及器件1、设计任务与要求1)、能显示十字路口东西、南北两个方向的红、黄、绿的指示状态,用两组红、黄、绿三色灯来表示两个方向上的交通灯;2)、能实现正常的倒计时功能,用两组数码管作为东西和南北方向的到计时显示,并且对红、绿灯的时间可调,在每次由绿灯变成红灯或相反的过度转换过程中,要亮黄灯2秒作为过渡;3)、能实现由手动控制红、绿的状态,即达到能手动切换交通灯的特殊状态的功能;2、用的器件及芯片,见表一数码管脉冲源逻辑与门逻辑或门灯逻辑或门电平开关开关四、课程设计的电路及工作原理交通灯控制电路主要由循环部分电路、减数器和显示(数码管)等部分组成。
用到的信号是时钟信号,脉冲发生器是提供给该系统中减数器的标准时钟信号源,循环电路部分是系统的主要部分,由它控制定红、黄绿、灯的往复工作,减数器与数码管组成不同进制与时间显示。
即74194输出用于点亮红、黄、绿灯和控制灯点亮同时进行的倒计数,倒计数及灯点亮时间的设置可由74190完成,手动脉冲和74190的进位输出脉冲又可用于去激励74194进位输出,如此往复形成红绿灯的循环点亮控制。
1、往复循环电路及原理在设计中用到起移位作用的芯片是双向移位寄存器74LS190,用此芯片来控制灯的移动,可搭建出单循环和往复循环两种结构电路。
双向移位寄存器74194 为4 位双向移位寄存器,其主要电特性的典型值如下:当清除端(CLEAR)为低电平时,输出端(QA-QD)均为低电平。
当工作方式控制端(S0、S1)均为高电平时,在时钟(CLOCK)上升沿作用下,并行数据(A-D)被送入相应的输出端QA-QD。
此时串行数据(DSR、DSL)被禁止。
当S0 为高电平、S1 为低电平时,在CLOCK上升沿作用下进行右移操作,数据由DSR送入。
当S0 为低电平、S1 为高电平时,在CLOCK上升沿作用下进行操作,数据由DSR送入。
当S0 和S1 均为低电平时,CLOCK 被禁止。
对于54(74)194,只有当CLOCK 为高电平时S0 和S1 才可改变。
引出端符号:CLOCK 时钟输入端CLEAR 清除端(低电平有效)A-D 并行数据输入端DSL 左移串行数据输入端DSR 右移串行数据输入端S0、S1 工作方式控制端QA-QD 输出端在设计中用的是往复循环连接方式:往复循环计数电路的设计采用的是同步方式,使用D触发器控制74LS194的左右移位,设计电路图如下,图一所示:图一、红绿灯的交替电路(往复循环)为达到往复循环计数电路的设计,需满足下列表格的要求,见表二:D 触发器 Q →1S Q →0S ,这样做到触发器“0”态194右移。
74194与D 触发器的D R 端连接置零信号,作启动作用。
L S 做接地处理,以便在左行移位时给低位补零。
考虑无关项的情况下,利用卡诺图化简可得如下结果:R S =A B Q Q =A B Q QD =B Q Q +C Q2、减数器电路及原理另外用到的芯片是74LS194,此芯片具有计数功能,而在交通灯中是利用它的减数功能,单片的194是十进制,若要达到十进制以上的要求,则需将两块芯片组合在一起运用。
将两片组合在一起能实现一百进制的计数。
74190为可预置的十进制同步加/减计数器,当置入控制端LD 为低电平,不管信号CP 的状态如何,输出端~A D Q Q 即可预置成与输入端A~D 相一致的状态。
引出端符号:~A D Q Q 并行数据输出端 CTEN 使能端A~D 数据输入端 LD 置数端CLK 信号输入端 RCO 进位信号输出端图二、减数器电路中的左边这片是高位,右边是低位,将低位的RCO输出的信号送到高位的CLK 端,用来给高位信号,来完成高位的自动减数,同时将高位的数据输出端QD用个逻辑非门送回到高、低位的LD置数端以达到自动减数的作用。
此电路可做到控制输入不同进制,完成的是对十位的不同控制,从而达到所需的不同计时要求,达到的是整十进制的转换,通过开关来完成不同进制的转换,可在十到七十的不同控制。
例如,在D关闭下完成的十进制,在S关闭下完成的是二十进制,S和D同时关闭时完成的是三十进制,在A关闭下是完成四十进制,等进制的控制,达到A、S和D同时关闭的状态下达到最高七十进制的转换。
3、红绿灯的控制结构电路及原理交通灯控制电路主要由以下几部分构成,绿灯、红灯和黄灯计时及预初值电路、红绿灯交替往复电路等构成。
最终组合的电路要求控制的是十字路口上的红绿灯,两片74190组合的减数器对一个路口的交通灯中的绿灯进行计数,另一组减数器对红灯进行计数,单独一片74190对黄灯计数,另外路口的交通灯接在这路口上,将红灯接绿灯,绿灯接红灯,黄灯接黄灯。
当出现紧急情况一类问题是,我们需要能手动来控制交通灯的亮/熄,未达到此要求在电路中设计有一手动开关K,需要将交通灯设置在什么颜色上的位置,只需手动控制开关就能完成。
此手动开关由字母K控制,当K开启之后,减数器从往复循环的灯状态得到的信号被截断,交通灯就处于此位置一直不变,到K闭合之后往复循环中的灯的状态信号才能给到减数器中,从而减数器又开始工作。
在电路中还使用了电平开关,起到的作用是将信号灯亮/熄状态的返回到减数器的,以控制190的工作,当灯亮时电平开关两端有电压,开关闭合,线路处于导通,减数器接收到信号,开始工作,当灯熄灭时,电平开关两端没电压,开关开启,线路截止,减数器没有信号输入,停止工作,减数器处于开始预置数上,到下一个灯亮起之后减数器做相同的状态变换。
状态控制器是系统的核心部分,在红绿灯的控制结构电路图中,从74194的红灯接到电平开关在接回74190的LOAD`端,即将红灯的信号传给190以控制其工作,将信号送回到190驱动它工作;将高位和低位的RCO出来的信号送到194的CLK端来推动194及D触发器的工作,如此循环下去,通过开关来控制进制的转换;对于这路口的红灯用同样的方法接在另外一组减数器上。
对黄灯只需一片190就能完成它的进制要求,接法和两片类似。
状态控制器决定交通灯处于哪一个运行状态。
从而使相应的交通灯点亮,并决定下一个状态的预置电路该预置的绿灯和黄灯的预置值。
状态控制电路是由寄存器74LS194来实现的。
首先进行置数。
将1(高电平)送给高位的Q,使绿灯1(亮)、黄灯0(熄)、绿灯0(熄),在当前状态计时结束后,计数器置入下一个状态计数值并开始计数,如此循环往复。
红灯1、黄灯0、绿灯0,红灯0、黄灯1、绿灯0,红灯0、黄灯0、绿灯1,两路口连接在一起的红灯和绿灯显示时间同步,其他单元在状态控制电路的状态控制下有序的完成计时和计数转换。
假定当前状态如红灯亮时,结果分析如下:将红灯的输入端接到计数器,对红灯的亮灯时间进行计数,然后显示输出。
红灯熄灭时,数码管LED灭;红灯亮时,计时器开始倒计时,计时器可以通过开关进行不同进制的转换,此时,另一路口的绿灯和现在的这红灯同步。
当计时递减到0时,状态控制器进入下一个状态,控制黄灯亮起;黄灯熄灭之后到绿灯亮起,和刚才的红灯一样的效果,但是进制可以调整成不一样;当红灯亮时,计数器、显示器执行上述的功能,如此周而复始,完成交通灯的显示过程。
五、设计中出现的问题在设计过程中出现的了较多的问题,但是在慢慢的查阅资料及和同学一起讨论之后把各个问题顺利解决。
例如设计过程中,在开始之前没好好看书及去查资料,导致思路不清晰,以及74190芯片的功能不少很清楚,导致不能顺利将减数器接通。
知道用那个型号的芯片之后得了解器具体功能才能开始连接电路,不同的芯片可以用来实现不同的功能,因此要在了解各芯片的具体功能之后才开始实施设计要求。
在设计交通灯的减数器时,将计数器74LS190的低位的RCO没接到高位的CLK,结果导致高位的数码管不显示,经过检查之后,解决了这一问题。
接下来是减数器不能自动计数,检查后结果发现是没将高位的输出信号返回给190的LOAD,将其用逻辑非门接回给芯片后,减数器就顺利工作。
对于进制的转换接触的电路没问题。
在将往复循环电路与减计数构建在一起时出现的问题较多,开始是交通灯无法顺利进行往复,发现原因是190输出的信号到194之间的线路出问题,逻辑门使用的不合理导致结果出错,这是没能详细计算出现的错误,经过进一步的查看及计算,最后顺利完成设计。
六、心得体会开始拿到设计题目,感到很难入手,花了较长时间来理清楚思路。
接下来的具体电路设计才是难点所在,所需用到的芯片其功能得去查阅,才能将的电路图接好。
通过此次的设计,我发现遇到问题不能死钻,应该和老师同学主动交流,将问题解决的方法不是只有自己弄出来的才算是自己的,和他人共同将问题解决而自己把原理弄清楚,也是学习的进步;不放弃,也应该是我们要做的,在最困难的时候更应该坚持下去,想办法将问题顺利解决才是我们应该去做的。
将开头做的好点的话,后面的工作要稍微轻松一点,最终的结果也才会是一个不错的结果。
做完设计之后发现学了一个学期的课程,在这次课程设计时,暴露出了很多自己不懂得知识,自己掌握的知识那么有限,在实际运用中更加无法将知识合理运用进去。
平时我们都只是学习原理知识,对实践进行的很少。
加上许多知识学了就忘,很难将知识连成一线加以利用。
所以,实践是对我们帮助和提高的最佳方式,虽然实践时出现的问题让人郁闷,但这是提高的前提,需要通过实践来历练我们,检测我们的知识。
实践环节对各方面都有提高,拓展我们多方面的思维。