UART收发的程序
- 格式:docx
- 大小:18.26 KB
- 文档页数:5
UART串行端口传输和接收程序工作像繁忙的邮政办公室为你的数据!它遵循UART(UART)通用同步接收器、传输器(Transmitter)协议,其中数据以特定baud速率的节奏舞蹈比特发送,开始和停止比
特引导方向。
当您想要将数据发送到世界时,程序首先会设置带有正
确baud率和其他配置的UART模块,然后它会欢快地将您的数据丢
入传输缓冲器。
从那里,UART硬件接管,刷刷你的数据并发送出来在TX针,遵循所有的规则和设置你已经规定。
这就像一个精心编程的表演,与你的数据占据中心阶段!
基本上,UART模块总是在检查RX针上的任何线程数据。
一旦它检
测到一个起始位,它开始根据指定的baud速率抓取其余位。
在获得
包括开始和停止位数在内的整个数据包后,它会保存接收缓冲中的所
有数据。
程序可以从接收缓冲器中获取数据来查看里面有什么。
处理任何潜在的错误,如框架错误或等值错误,在接收过程中可能出现,
也是非常重要的。
UART串行端口传输和接收程序的实施遵循UART协议的原则和政策,促进设备之间的数据交换。
程序精心配置了UART模块,其中包含关于baud率,数据比特,stop比特,以及等价的具体参数,并认真遵
守了规定的准则。
随后,要传输的数据被有效存储并写入UART传输缓冲器。
接收后,从接收缓冲中勤勉地检索数据,确保UART模块准确处理并存储了iing数据。
通过坚持规定的UART协议和有条不紊地
配置UART模块,程序按照既定的政策和指令,有效建立了设备间连续免疫的可靠和安全的通道。
uart通信原理与程序UART(通用异步收发传输器)是一种串行通信协议,用于在电子设备之间传输数据。
它广泛应用于各种通信设备和嵌入式系统中,是实现设备间通信的一种基本方式。
本文将详细介绍UART的工作原理和编写UART通信程序的步骤。
一、UART的工作原理UART通信是一种简单的、异步的、串行通信方式。
它使用一个数据线(TXD)和一个时钟线(CLK)实现数据的收发。
UART通信的工作原理如下:1.数据传输格式:UART通信使用帧来表示一个完整的数据包,每个帧由起始位、数据位、校验位和停止位组成。
起始位是一个低电平信号,用来告诉接收方接下来的数据的开始。
数据位是实际要传输的数据,可以是一个字节或多个字节。
校验位用于检查数据的准确性,常用的校验方式有奇偶校验和循环冗余校验(CRC)。
停止位是一个高电平信号,用来表示数据的结束。
2.波特率:3.串行传输:UART通信使用串行传输方式,即每个bit按顺序依次传输。
发送方将数据一位一位地发送到TXD线上,接收方通过CLK线来同步数据的传输。
发送方和接收方都在预定的时钟频率下将数据从一个电平变为另一个电平,以便接收方正确地接收数据。
4.启动和停止:UART通信在数据的开始和结束位置需要一些额外的控制位来标识。
当数据传输开始时,发送方发送一个起始位(低电平),接收方通过检测起始位来确定数据传输的开始。
当数据传输完毕时,发送方发送一个或多个停止位(高电平)来表示数据的结束。
5.同步与异步:UART通信是一种异步通信方式,即发送方和接收方的时钟不同步。
发送方和接收方使用各自的时钟来同步数据的传输,接收方通过检测起始位和停止位来确定数据的开始和结束位置。
二、编写UART通信程序的步骤下面是编写UART通信程序的一般步骤:1.设置波特率:首先,需要设置UART的波特率,确保发送方和接收方使用相同的波特率。
波特率的设置通常是通过设置寄存器完成的,具体的方法可以参考芯片的数据手册。
// UART_FPGA.v 顶层模块,实现由ARM控制FPGA读取串口数据,经过ARM返回给FPGA串口发送出去;`timescale 1ns/1nsmodule UART_FPGA(//EMIF PINinput wire [10:0] EADDR_pin /* synthesis syn_noclockbuf = 1 */,inout wire [15:0] EDATA_pin ,input wire EnOE_pin /* synthesis syn_noclockbuf = 1 */,input wire EnWE_pin /* synthesis syn_noclockbuf = 1 */,input wire EnGCS1_pin /* synthesis syn_noclockbuf = 1 */,output wire EINT2_pin ,//LED_runoutput wire LED_run_pin ,//UARToutput wire UART_TXD0 ,input wire UART_RXD0 /* synthesis syn_noclockbuf = 1 */,//test signaloutput wire TXD_test,output wire RXD_test,output reg TXD_start,output wire EINT2_test,output wire TXD_over_test,output wire uart_send_WR,//FPGA SYSTEM PINinput wire nRESET_pin /* synthesis syn_noclockbuf = 1 */,input wire CLK_50M_pin);wire [15:0] write_data;//-------------------DSP ARM uart to EMIF---------------------- reg [7:0] ARM_DSP_data;wire [7:0] DSP_ARM_data;reg uart_send_WR_reg;//reg [7:0] T_data;//reg [1:0] TXD_start_cnt;wire [7:0] R_data;wire R_ready;reg [2:0] UART_INT_cnt;wire TXD_over;wire [1:0] baud_select;reg [12:0] baud_devide;//wire clkrec;parameter baud_9600 = 5208; //50M/9600 parameter baud_19200 = 2604;parameter baud_38400 = 1302;parameter baud_115200 = 434;assign TXD_test = UART_TXD0;assign RXD_test = UART_RXD0;assign EINT2_test = EINT2_pin;assign TXD_over_test=TXD_over;/*--------------Program start-------------------*//*----------baud select------------*/always @( negedge nRESET_pin or posedge CLK_50M_pin ) beginif( !nRESET_pin )beginbaud_devide <= 'h1FFF;endelsebegincase ( baud_select )'d0 : baud_devide <= baud_9600 ;'d1 : baud_devide <= baud_19200 ;'d2 : baud_devide <= baud_38400 ;'d3 : baud_devide <= baud_115200 ;endcaseendend/*----------INT generator------------*/always @( negedge nRESET_pin or posedge R_ready )beginif( !nRESET_pin )beginARM_DSP_data <= 8'd0;endelsebeginARM_DSP_data <= R_data;endendalways @( negedge nRESET_pin or negedge R_ready or posedge CLK_50M_pin ) beginif( !nRESET_pin )beginUART_INT_cnt <= 3'd5;endelse if( !R_ready )beginUART_INT_cnt <= 3'd0;endelse if( UART_INT_cnt < 3'd5 )beginUART_INT_cnt <= UART_INT_cnt + 1'b1;endassign EINT2_pin = ( UART_INT_cnt >= 3'd1 && UART_INT_cnt <= 3'd4 ) ? 1'b0 : 1'b1 ;/*---------------DSP to ARM data---------------*/always@(negedge nRESET_pin or posedge CLK_50M_pin) //当接收了一个数据后,把数据加1后发回PC机,注意串口一个一个数据发beginif( !nRESET_pin )beginuart_send_WR_reg <= 1'b0;TXD_start <= 1'b0;endelse if( uart_send_WR_reg != uart_send_WR )beginif( TXD_over )beginTXD_start <= 1'b1;uart_send_WR_reg <= uart_send_WR;endendelsebeginTXD_start <= 1'b0;endend//----------------EMIF read ,fpga TO ARM---------------------------EMIF_R EMIF_R_M(.EADDR_pin (EADDR_pin ),.EDA TA_pin (EDA TA_pin ),.EnOE_pin (EnOE_pin ),.EnGCS1_pin (EnGCS1_pin ),.write_data (write_data ),.ARM_DSP_data (ARM_DSP_data ),.nRESET_pin (nRESET_pin )// .CLK_50M_pin (CLK_50M_pin )//----------------EMIF write,ARM to fpga---------------------------EMIF_W EMIF_W_M(.EADDR_pin (EADDR_pin ),.EDA TA_pin (EDATA_pin ),.EnWE_pin (EnWE_pin ),.EnGCS1_pin (EnGCS1_pin ),//uart.DSP_ARM_data (DSP_ARM_data ),.uart_send_WR (uart_send_WR ),.write_data (write_data ),.baud_select (baud_select ),//LED run.LED_run_pin (LED_run_pin ),.nRESET_pin (nRESET_pin )// .CLK_50M_pin (CLK_50M_pin ) );UART_rec UART_rec_M (//input.RXD (UART_RXD0 ),.baud_devide (baud_devide ),//output.R_data (R_data ),.R_ready (R_ready ),//FPGA sys pin.nRESET_pin (nRESET_pin ),.CLK_50M_pin (CLK_50M_pin));UART_txd UART_txd_M (//input.TXD_start (TXD_start ),.T_data (DSP_ARM_data ),.baud_devide (baud_devide ),//output.TXD (UART_TXD0 ),.TXD_over (TXD_over ),//FPGA sys pin.nRESET_pin (nRESET_pin ),.CLK_50M_pin (CLK_50M_pin));Endmodule// UART_rec.v`timescale 1ns/1nsmodule UART_rec (//inputRXD,baud_devide,//outputR_data,R_ready,//FPGA sys pinnRESET_pin,CLK_50M_pin);input wire RXD;input wire [12:0] baud_devide;output reg [7:0] R_data;output reg R_ready;input wire nRESET_pin;input wire CLK_50M_pin;reg [1:0] RXD_sync;reg [2:0] RXD_cnt;reg RXD_bit;reg [3:0] rec_bit_cnt;//reg [8:0] baud_16_reg;reg [12:0] baud_cnt;reg [8:0] baud_16_cnt;wire baud_tick_16;wire baud_tick;wire [11:0] baud_devide_2;wire [8:0] baud_devide_16;/*----------Program start------------*/assign baud_devide_2 = baud_devide / 2;assign baud_devide_16 = baud_devide / 16;/*--------------baud 16 devided clk used in sample-----------*/ always @( negedge nRESET_pin or posedge CLK_50M_pin ) beginif( !nRESET_pin )beginbaud_16_cnt <= 'd0;endelsebeginif( baud_16_cnt < baud_devide_16 )beginbaud_16_cnt <= baud_16_cnt + 1'b1;endelsebeginbaud_16_cnt <= 'd0;endendendassign baud_tick_16 = ( baud_16_cnt == baud_devide_16 );//--------baud clk used in receiving data-------always @( negedge nRESET_pin or posedge CLK_50M_pin )beginif( !nRESET_pin )beginbaud_cnt <= 'd0;endelsebeginif( !R_ready )beginif( baud_cnt < baud_devide - 1'b1 )beginbaud_cnt <= baud_cnt + 1'b1;endelsebeginbaud_cnt <= 'd0;endendelsebeginbaud_cnt <= 'd0;endendendassign baud_tick = ( baud_cnt == baud_devide_2 );/*-----------jitter filter--------------*/always @( negedge nRESET_pin or posedge CLK_50M_pin )beginif( !nRESET_pin )beginRXD_sync <= 2'd0;endelsebeginRXD_sync <= {RXD_sync[0], RXD};endendalways @( negedge nRESET_pin or posedge baud_tick_16 ) beginif( !nRESET_pin )beginRXD_cnt <= 3'd7;endelsebeginif( RXD_sync[1] && RXD_cnt != 3'd7 )beginRXD_cnt <= RXD_cnt + 1;endelsebeginif( ~RXD_sync[1] && RXD_cnt != 3'd0 )beginRXD_cnt <= RXD_cnt - 1;endendendendalways @( negedge nRESET_pin or posedge baud_tick_16 ) beginif( !nRESET_pin )beginRXD_bit <= 1'b1;endelsebeginif( RXD_cnt == 3'd7)beginRXD_bit <= 1'b1;endelse if( RXD_cnt == 3'd0 )beginRXD_bit <= 1'b0;endendend/*---------------receive data cnt---------------*/always @( negedge nRESET_pin or posedge CLK_50M_pin ) beginif( !nRESET_pin )beginR_ready <= 1'b1;rec_bit_cnt <= 4'd0;endelsebeginif( R_ready )beginrec_bit_cnt <= 4'd0;if( !RXD_bit )beginR_ready <= 1'b0;endendelse if( baud_tick )beginif( rec_bit_cnt < 4'd9 )beginrec_bit_cnt <= rec_bit_cnt + 1'b1;R_ready <= 1'b0;endelsebeginrec_bit_cnt <= 4'd0;R_ready <= 1'b1;endendendendalways @( negedge nRESET_pin or posedge baud_tick ) beginif( !nRESET_pin )beginR_data <= 8'd0;endelse if( !R_ready )beginif( rec_bit_cnt == 0 )beginR_data <= 8'd0;endelsebeginif( rec_bit_cnt >= 4'd1 && rec_bit_cnt<= 4'd8 )R_data[rec_bit_cnt - 1'b1 ] <= RXD_bit;endendendendmodule// UART_TXD.v`timescale 1ns/1nsmodule UART_txd (//inputbaud_devide,TXD_start,T_data,//outputTXD,TXD_over,//FPGA sys pinnRESET_pin,CLK_50M_pin);input wire TXD_start;input wire [7:0] T_data;input wire [12:0] baud_devide;output reg TXD;output reg TXD_over;input wire nRESET_pin;input wire CLK_50M_pin;//regs & wireswire baud_tick;//reg baud_ctrl;reg [3:0] T_state;reg [7:0] T_data_reg;reg [12:0] baud_cnt;/*----------Program start---------------*//*-----------------Baud generator------------------------*/assign baud_tick = ( baud_cnt == baud_devide - 1'b1 );always @( negedge nRESET_pin or posedge CLK_50M_pin ) beginif( !nRESET_pin )beginbaud_cnt <= 'd0;endelse if( baud_cnt < baud_devide - 1'b1 )beginbaud_cnt <= baud_cnt + 1'b1;endelsebeginbaud_cnt <= 'd0;endend/*----------transmit state machine---------------*/always @( negedge nRESET_pin or posedge CLK_50M_pin ) beginif( !nRESET_pin )beginT_state <= 4'd0;endelsebegincase(T_state)4'd0: if(TXD_start) T_state <= 4'd1;4'd1: if(baud_tick) T_state <= 4'd2; // 开始位04'd2: if(baud_tick) T_state <= 4'd3; // bit 04'd3: if(baud_tick) T_state <= 4'd4; // bit 14'd4: if(baud_tick) T_state <= 4'd5; // bit 24'd5: if(baud_tick) T_state <= 4'd6; // bit 34'd6: if(baud_tick) T_state <= 4'd7; // bit 44'd7: if(baud_tick) T_state <= 4'd8; // bit 54'd8: if(baud_tick) T_state <= 4'd9; // bit 64'd9: if(baud_tick) T_state <= 4'd10; // bit 74'd10: if(baud_tick) T_state <= 4'd0; // 停止位1default: if(baud_tick) T_state <= 4'd0;endcaseendendalways @(negedge nRESET_pin or posedge baud_tick ) beginif( !nRESET_pin )beginTXD <= 1'b1;T_data_reg <= 8'd0;endelsebegincase(T_state)// 4'd0: TXD <= 1'b1;4'd1: beginTXD <= 1'b0;T_data_reg <= T_data;end4'd2: TXD <= T_data_reg[0];4'd3: TXD <= T_data_reg[1];4'd4: TXD <= T_data_reg[2];4'd5: TXD <= T_data_reg[3];4'd6: TXD <= T_data_reg[4];4'd7: TXD <= T_data_reg[5];4'd8: TXD <= T_data_reg[6];4'd9: TXD <= T_data_reg[7];4'd10: TXD <= 1'b1;default: TXD <= 1'b1;endcaseendend//assign TXD = TXD;always @( negedge nRESET_pin or posedge CLK_50M_pin ) beginif( !nRESET_pin )beginTXD_over <= 1'b0;endelsebeginif( T_state ==4'd0 )TXD_over <= 1'b1;elseTXD_over <= 1'b0;endendendmodule。
C8051F如何使用UART下载程序代码至FLASH1. 首先,编写一个可以在C8051F上运行的Bootloader程序。
Bootloader程序是一段特殊的代码,用于从外部设备(如UART)加载新程序代码到微控制器的FLASH中。
Bootloader程序通常会被预加载到芯片的内部FLASH中。
2. 在C8051F上的Bootloader程序中,你需要实现UART通信的初始化和数据接收功能。
可以使用USART模块(UART的硬件实现)。
通过设置正确的通信参数(如波特率、数据位、停止位等),您可以确保正确地与外部设备进行通信。
3. 在Bootloader程序中,您需要实现相应的协议(如Xmodem、Ymodem、Zmodem等)来接收通过UART发送的程序代码。
这些协议用于将程序代码分割为小块(通常被称为“数据包”),并发送到C8051F的RAM中。
4. 在Bootloader程序中,您还需要实现将接收到的程序代码从RAM中写入FLASH的功能。
这涉及到对微控制器的FLASH进行擦除和编程操作。
擦除操作将清除整个FLASH存储器区域,而编程操作将在适当的地址处写入程序代码。
5.一旦通过UART接收和写入完整的程序代码,您可以执行引导程序,从而将新代码加载到C8051F的FLASH中。
引导程序(通常是一个简单的跳转指令)会将微控制器的执行控制权转移到新的代码地址处,从而启动新的程序。
请注意,该过程涉及到对芯片内部FLASH进行操作,所以在进行任何操作之前,请确保您理解并熟悉相关的芯片和FLASH编程的详细规格和限制。
此外,始终备份您的原始程序,以防止意外情况发生。
头文件:Uart.h#ifndef _UART_H_#define _UART_H_#include"DataType.h"void Uart1_Init(u16 SYS_Clk, u32 baud);void Uart1_SendData(u8 data);void Uart1_IOConfig(void);extern u8 RecData;extern u8 flag;#endif源文件:Uart.c#include"iostm8s.h"#include"Uart.h"u8 RecData;u8 i=0;u8 flag;void Uart1_Init(u16 SYS_Clk, u32 baud){u16 UART_Temp=0;Uart1_IOConfig();USART1_CR2 = 0;// 禁止UART发送和接收USART1_CR1 = 0x00; //8bitUSART1_CR3 = 0x00; //1 stop bit// USART1_BRR2 = 0x0D;// USART1_BRR1 = 0x00; //9600 baud rate/************************************************** 设置波特率,必须注意以下几点:(1) 必须先写BRR2(2) BRR1存放的是分频系数的第11位到第4位,(3) BRR2存放的是分频系数的第15位到第12位,和第3位到第0位例如对于波特率位9600时,分频系数=2000000/9600=208对应的十六进制数为00D0,BBR1=0D,BBR2=00*************************************************/ UART_Temp = SYS_Clk*1000000/baud;USART1_BRR2 = (u8)((UART_Temp&0x000F)|((UART_Temp&0xF000)>>8));USART1_BRR1 = (u8)((UART_Temp&0x0FF0)>>4);USART1_CR2 = 0x2C; // b3 = 1,允许发送// b2 = 1,允许接收// b5 = 1,允许产生接收中断}@far @interrupt void USART1_RX_IRQHandler (void){u8 RxBuffer;RxBuffer = USART1_DR; //Store the received byte in RxBuffer//Uart1_SendData(Rec_whole[w]);RecData=RxBuffer&0x0ff;return;}void Uart1_SendData(u8 data){while((USART1_SR & 0x80) == 0x00); // 若发送寄存器不空,则等待USART1_DR = data; // 将要发送的字符送到数据寄存器}void Uart1_IOConfig(void){PD_DDR |= (1<<5);//输出模式 TXDPD_CR1 |= (1<<5);//推挽输出PD_DDR &=~(1<<6);//输入模式 RXDPD_CR1 &=~(1<<6);//浮空输入}。
嵌入式写uart程序
在嵌入式系统中,UART(Universal Asynchronous Receiver/Transmitter)是一种常用的串行通信接口。
它用于实现与外部设备之间的数据传输。
以下是一个简单的嵌入式 UART 程序示例,用于发送数据:
```c
#include <stdio.h>
#include <uart.h>
int main() {
// 初始化 UART 接口
uart_init();
// 要发送的数据
char message[] = "Hello, UART!\n";
// 发送数据
uart_send(message, strlen(message));
// 等待数据发送完成
uart_wait_send_done();
return 0;
}
```
在上述示例代码中,我们首先初始化 UART 接口。
然后,我们定义要发送的数据,并使用`uart_send`函数将数据发送到 UART 接口。
最后,我们使用`uart_wait_send_done`函数等待数据发送完成。
请注意,这只是一个简单的示例程序,实际的 UART 编程可能涉及更多的细节,例如设置波特率、数据位数、奇偶校验等。
具体的实现方式可能因所使用的嵌入式平台和编译器而有所不同。
如果你需要更详细的 UART 编程示例或帮助,请提供更多的上下文信息,例如所使用的嵌入式平台、编译器以及具体的需求。
这样我可以提供更具体的帮助和示例代码。
一般教科书上提供的UART收发的程序往往是一段采用轮循(Polling)方式完成收发的简单代码。
但对于高速的AVR来讲,采用这种方式大大降低了MUC的效率。
在使用AVR时,应根据芯片本身的特点(片内大容量数据存储器RAM,更适合采用高级语言编写系统程序),编写高效可靠的UART收发接口(低层)程序。
下面是一个典型的ATmega128的软件USART的接口程序。
#include <mega128.h>
#define RXB8 1
#define TXB8 0
#define UPE 2
#define OVR 3
#define FE 4
#define UDRE 5
#define RXC 7
#define FRAMING_ERROR (1<<FE)
#define PARITY_ERROR (1<<UPE)
#define DATA_OVERRUN (1<<OVR)
#define DATA_REGISTER_EMPTY (1<<UDRE)
#define RX_COMPLEte (1<<RXC)
// USART0 Receiver buffer
#define RX_BUFFER_SIZE0 8
char rx_buffer0[RX_BUFFER_SIZE0];
unsigned char rx_wr_index0,rx_rd_index0,rx_counter0;
// This flag is set ON USART0 Receiver buffer overflow
bit rx_buffer_overflow0;
// USART0 Receiver interrupt service routine
#pragma savereg-
interrupt [USART0_RXC] void uart0_rx_isr(void)
{
char status,data;
#asm
push r26
push r27
push r30
push r31
in r26,sreg
push r26
#endasm
status=UCSR0A;
data=UDR0;
if ((status & (FRAMING_ERROR | PARITY_ERROR | DATA_OVERRUN))==0)
{
rx_buffer0[rx_wr_index0]=data;
if (++rx_wr_index0 == RX_BUFFER_SIZE0) rx_wr_index0=0; if (++rx_counter0 == RX_BUFFER_SIZE0)
{
rx_counter0=0;
rx_buffer_overflow0=1;
};
};
#asm
pop r26
out sreg,r26
pop r31
pop r30
pop r27
pop r26
#endasm
}
#pragma savereg+
#ifndef _DEBUG_TERMINAL_IO_
// Get a character from the USART0 Receiver buffer
#define _ALTERNATE_GETCHAR_
#pragma used+
char getchar(void)
{
char data;
while (rx_counter0==0);
data=rx_buffer0[rx_rd_index0];
if (++rx_rd_index0 == RX_BUFFER_SIZE0) rx_rd_index0=0;
#asm("cli")
--rx_counter0;
#asm("sei")
return data;
}
#pragma used-
#endif
// USART0 Transmitter buffer
#define TX_BUFFER_SIZE0 8
char tx_buffer0[TX_BUFFER_SIZE0];
unsigned char tx_wr_index0,tx_rd_index0,tx_counter0;
// USART0 Transmitter interrupt service routine
#pragma savereg-
interrupt [USART0_TXC] void uart0_tx_isr(void)
{
#asm
push r26
push r27
push r30
push r31
in r26,sreg
push r26
#edasm
if (tx_counter0)
{
--tx_counter0;
UDR0=tx_buffer0[tx_rd_index0];
if (++tx_rd_index0 == TX_BUFFER_SIZE0) tx_rd_index0=0; };
#asm
pop r26
out sreg,r26
pop r31
pop r30
pop r27
pop r26
#endasm
}
#pragma savereg+
#ifndef _DEBUG_TERMINAL_IO_
// Write a character to the USART0 Transmitter buffer
#define _ALTERNATE_PUTCHAR_
#pragma used+
void putchar(char c)
{
while (tx_counter0 == TX_BUFFER_SIZE0);
#asm("cli")
if (tx_counter0 || ((UCSR0A & DATA_REGISTER_EMPTY)==0)) {
tx_buffer0[tx_wr_index0]=c;
if (++tx_wr_index0 == TX_BUFFER_SIZE0) tx_wr_index0=0; ++tx_counter0;
}
else
UDR0=c;
#asm("sei")
}
#pragma used-
#endif
// Standard Input/Output functions
#include <stdio.h>
// Declare your global variables here
void main(void)
{
// USART0 initialization
// Communication Parameters: 8 Data, 1 Stop, No Parity
// USART0 Receiver: On
// USART0 Transmitter: On
// USART0 Mode: Asynchronous
// USART0 Baud rate: 9600
UCSR0A=0x00;
UCSR0B=0xD8;
UCSR0C=0x06;
UBRR0H=0x00;
UBRR0L=0x67;
// Global enable interrupts
#asm("sei")
while (1)
{
// Place your code here
};
}
这段由CVAVR程序生成器产生的UART接口代码是一个非常好的、高效可靠,并且值得认真学习和体会的。
其特点如下:
l. 它采用两个8字节的接收和发送缓冲器来提高MCU的效率,如当主程序调用Putchar()发送数据时,如果UART口不空闲,就将数据放入发送缓冲器中,MCU不必等待,可以继续执行其它的工作。
而UART 的硬件发送完一个数据后,产生中断,由中断服务程序负责将发送缓冲器中数据依次送出。
2.数据缓冲器结构是一个线性的循环队列,由读、写和队列计数器3个指针控制,用于判断队列是否空、溢出,以及当前数据在队列中的位置。
3. 用编译控制命令#pragma savereg-和#pragma savereg+,使得由CVAVR在生成的中断服务程序中不进行中断保护(CVAVR生成中断保护会将比较多的寄存器压入堆栈中),而在中断中嵌入汇编,只将5个
在本中断中必须要保护的寄存器压栈。
这样提高了UART中断处理的速度,也意味着提高了MCU的效率。
4.由于在接口程序Putchar()、Getchar()和中断服务程序中都要对数据缓冲器的读、写和队列计数器3个指针判断和操作,为了防止冲突,在Putchar()、Getchar()中对3个指针操作时临时将中断关闭,提高了程序的可靠性。
建议读者能逐字逐句地仔细分析该段代码,真正理解和领会每一句语句(包括编译控制命令的作用)的作用,从中体会和学习如何编写效率高,可靠性好,结构优良的系统代码。
这段程序使用的方法和技巧,对编写SPI、I2C的串行通信接口程序都是非常好的借鉴。
作为现在的单片机和嵌入式系统的工程师,不仅要深入全面的掌握芯片和各种器件的性能,具备丰富的硬件设计能力;同时也必须提高软件的设计能力。
要学习和掌握有关数据结构、操作系统、软件工程、网络协议等方面的知识,具有设计编写大的复杂系统程序的能力。