推荐-3B4B编码与译码的设计与仿真 精品
- 格式:doc
- 大小:322.00 KB
- 文档页数:15
课程设计I(数据通信原理)设计说明书题目:3B4B编码与译码的设计与仿真学生姓名樊佳佳学号1318064017班级网络工程1301班成绩指导教师贾伟数学与计算机科学学院2015年 9 月 12 日课程设计任务书2015—2016学年第 1 学期课程设计名称:课程设计I(数据通信原理) 课程设计题目:3B4B编码与译码的设计与仿真完成期限:自 2015 年 8 月 11 日至 2015 年 9 月 11 日共2 周设计内容:设计一种数字基带传输中的一种编译码系统(HDB3、AMI、CMI、2B1Q、3B4B、曼切斯特、差分曼切斯特等选取一种)。
使用Matlab/Simulink仿真软件,设计所选择的基带传输的编码和译码系统。
系统能根据随机信源输入的二进制信息序列给出对应的编码及译码结果,并以图形化的方式显示出波形,能观察各分系统的各级波形。
指导教师:教研室负责人:课程设计评阅摘要设计一个码元信息传递系统,包括编码和译码两部分,这个系统可以高效地传递信息。
该系统是基于matlab/simulik实现的,设计数字电路来实现码元由3bit一组到4bit一组的转换,提高信息的传输效率。
关键词: 3B4B ; 编码器; 译码器目录目录 (2)1.课题描述 (3)2.3B4B码编译码模块设计 (4)2.1 3B4B码编译码原理 (3)2.2 3B4B编码器原理及框图 (4)2.3 3B4B译码器原理及框图 (5)2.4 编译码程序图 (5)3.3B4B编译码程序图的参数设置及其仿真结 (8)3.1仿真系统中模块参数设置和仿真实验结果 (8)4.总结 (11)5.参考文献 (13)1.课题描述设计一种数字基带传输中的一种编译码系统(HDB3、AMI、CMI、2B1Q、3B4B、曼切斯特、差分曼切斯特等选取一种)。
使用Matlab/Simulink仿真软件,设计所选择的基带传输的编码和译码系统。
系统能根据随机信源输入的二进制信息序列给出对应的编码及译码结果,并以图形化的方式显示出波形,能观察各分系统的各级波形。
现代电子综合课程设计课题名称:基于VHDL的3B4B线路编码/解码实现*名:**学号:**********组员:付成志(2008142120)专业:电子信息科学与技术指导教师:***2011年6月27日基于VHDL的3B4B线路编码/解码实现——《编码部分(Encode3B4B)》摘要:mBnB 码是光纤通信系统中常用的码型之一, 本次设计了一种简单实用的3B4B 编码方法, 并提出了用Altera开发系统的硬件描述语言VHDL 实现全数字3B4B 编译码电路的设计思想和方法, 最后给出了波形仿真结果。
本文给出了针对该编码方法的除数字锁相环之外的一种简单方便的VHDL 语言设计方法。
关键词:3B4B 码;FPGA;VHDL 语言;波形仿真一、设计原理:数字光纤通信系统对线路码型的要求主要是保证传输的透明性,如下图,在电调制光源之前,通常需要对解码或扰码后的二进制码进行线路编码,减小功率谱中的高低频分量,为光接收机提供足够的定时信息,保证定时信息丰富,或能提供一定的冗余码,用于平衡码流、误码监测和公务通信。
而接收端进行光电转换后,提取位时钟信号进行判决得到线路编码信号,还需要进行解码还原出原始数字信号。
光发送机的线路编码电路二、3B4B 编码规则:大多数mBnB 码都采用两种模式编码, 两种模式交替使码字中的不均值为零。
这种编码电路多采用可编程只读存储器PROM 查找表的方法实现。
下面介绍一种3B4B码, 可用更为简单的电路实现, 其编码规则具体说明如下:先将输入的数字信号每3 b 为一码字, 在同样长的时间间隔内变换成4 b 一组的输出码字。
①变换后4 b 的一个码字中, 第1 与第4 比特位分别由变换前3 b 码字中的第1 与第3 比特位取反而得到;②变换前的第1 与第2 比特位分别作为变换后的第2与第3 比特位。
其编码情况如表所示:3B 000 001 010 011 100 101 110 111 4B 1000 1000 1011 1010 0101 0100 0111 0110从编码表可以看出, 这种编码规则不仅简单, 且具有以下2 个突出的特点:①编码后每组码字的前两位都有极性翻转, 定时信息丰富, 有利于译码电路中分组信号的提取;②连“0”和连“1”的分组经变换后都成为“0”,“1”均等的码字, 对抑制光接收机中的基线浮动有利。
循环码编码与译码器的仿真设计摘要:本文以(15,7)循环码作为例子,实现了循环码的编码与译码。
利用硬件叙述语言VHDL 对循环码编译码系统进行设计,讨论了循环码编译码系统的特点。
设计的程序编写和程序调试是依据设计的方案和设计的平台而完成,再经过程序的运行和仿真得到的时序波形,设计的正确性得以准确的验证。
关键词:循环码;编码;译码器;VHDLCyclic code encoder and decoder design simulation Abstract :This paper realizes the encoding anf decoding of cyclic codes,with an exampleof (15,7) cyclic code. Using hardware description language VHDL code for encoding and decoding system design cycle, to discuss the characteristics of cyclic codes and decoding system. Programming and program design is based on the design of the program debugging and design of the platform is completed, then after running the timing waveforms and simulation program has been designed to effectively verify the correctness.Key word:BCH code decoder VHDL目录摘要 (I)Abstract (II)第一章绪论 (1)1.1 背景及研究概况 (1)1.2 设计目的和要求 (1)1.3 EDA技术的概述 (2)1.4 VHDL语言介绍 (2)1.5 Quartus II概述 (2)1.6Quartus II数字系统开发流程 (3)1.7 小结 (4)第二章循环码原理 (5)2.1 循环码 (5)2.2 非本原BCH码 (5)2.3 R-S码 (6)第三章 BCH码编译码 (7)3.1 编码器的设计原理 (7)3.2译码器的设计 (8)3.2.1 依据接收多项式r(x)求出伴随式S (8)3.2.2 根据伴随式求出错误位置 (10)3.2.3 搜索法译码原理 (11)3.3 主模块电路设计框图 (12)3.3.1 编码器的设计 (12)3.3.2 综合设计电路 (12)3.3.3 搜索译码电路 (13)3.3.4 差错定位电路 (13)3.3.5 双纠错码译码器电路 (13)3.4 小结 (14)第四章 BCH码仿真及分析 (15)4.1 仿真设计总流程图 (15)4.2 编码器和译码器顶层文件生成的模块 (16)4.3 编码器仿真图 (16)4.4 译码器仿真图 (17)第五章总结 (19)致谢 (20)参考文献 (21)第一章绪论1.1 背景及研究概况数据传输错误的发生,有可能是因某些原因在信息传递过程中而发生。
昆明学院2013 届毕业论文(设计)论文(设计)题目基于FPGA的HDB3码的编码器与译码器设计(软件设计)子课题题目姓名周艳学号 201004090147所属院系自动控制与机械工程学院专业年级 2010级通信技术1班指导教师任杰2013年 5月HDB3码是基带传输码型之一,因为它具有无直流分量、低频分量少、连0数不超过3个这些特点,所以有利于信号的恢复和检验,所以HDB3码被广泛应用到井下电缆遥传系统以及高速长距离书记通信中等。
FPGA具有成本低、可靠性高、开发周期短、可重复编程等特点。
利用EDA技术,可对其实现硬件设计软件化,加速了数字系统设计的效率,降低了设计成本。
本文先对HDB3码,FPGA器件和EDA技术的发展背景进行简述。
接着阐述EDA技术中常用的VHDL语言的发展与优点,并以VHDL为核心,简要说明硬件电路的设计的方法步骤。
然后介绍HDB3码的编译码原理以及其特点。
最后,对HDB3码的编译原理进行重点分析,并且以VHDL语言为主,分别对编码器部分和译码器部分的具体实现方法进行说明,给出具体设计的思考方案和程序流程图,并对设计方案进行软件仿真,同时给出仿真结果并对其进行分析,证明设计方案的正确性。
关键词:HDB3码;FPGA;EDA;VHDL;编译码HDB3 code is one of codes used in the transmission system. It has no DC components and a few of LF components. Moreover, it has continuous zeros no more than three. The features of HDB3 code help the signal to be rebuilt and be checked for error easily, so HDB3 code is the commonly used code in the transmission system. Low cost, dependability, short design cycle and repeated program ability are the features of FPGA. You can design hardware of digital circuits by using software as a result of using FPGA with EDA. It will construct the digital system quickly system quickly and reduce the cost of design.This paper first introduces the development and background of HDB3.FPGA and EDA, and then expands VHDL. which is commonly used as design-entry language for EDA.A summary of digital circuits’ design by using VHDL is provided.Moreover, the principle and decoder is designed by using VHDL. Finally, the plan of design, the flow of software design and the simulated waveform of HDB3 encoder and decoder is presented, showing correctness of the design.Keywords: HDB3 code; FPGA ; EDA ; VHDL; Encoder and Decoder目录第一章概述 (1)1.1 HDB3码的简述 (1)1.2 FPGA简介 (2)1.2.1 FPGA的发展历程 (2)1.2.2 FPGA基本结构及其特点 (3)1.3 EDA技术 (4)1.4 VHDL硬件描述语言 (4)1.4.1 简介 (4)1.4.2 VHDL具有的特点 (5)1.4.3 VHDL的优点 (7)1.4.4 VHDL设计硬件电路的方法 (7)第二章 HDB3码的编译规则 (10)2.1主要的基带传输码型 (10)2.1.1 NRZ码的编码规则 (10)2.1.2 AMI码的编码规则 (10)2.2 HDB3码的编码规则 (11)2.3 HDB3码的译码规则 (12)2.4 HDB3码的检错能力 (12)第三章 HDB3编码器的FPGA实现 (13)3.1 HDB3码编码器的实现分析 (13)3.2 HDB3码编码器的设计思路 (13)3.2.1 4连‘0’的检出加V及判‘1’极性 (13)3.2.2 取代节的选取 (13)3.3设计建模 (14)3.3.1插“V”码模块设计及仿真 (15)3.3.2插“B”码模块设计及仿真 (17)3.3.3 HDB3编码器的极性转换模块设计及仿真 (20)第四章 HDB3译码器的FPGA实现 (24)4.1 译码器的实现分析 (24)4.2 HDB3译码器的设计思路 (24)4.3 V的检测 (25)4.4 扣V扣B (25)4.5 设计建模 (25)4.5.1扣V扣B的实现 (26)4.6 软件仿真 (26)第五章结论 (28)参考文献 (29)附录 (30)谢辞 (38)第一章概述1.1 HDB3码的简述HDB3(High Density Bipolar of order 3code)码的全称是三阶高密度双极性码,又称为四连“0”取代码,它是一种AMI码的改进,保持了AMI码的优点而克服其缺点。
第1篇实验名称:基于仿真平台的编码算法性能评估实验日期:2023年4月10日实验地点:计算机实验室实验目的:1. 了解编码算法的基本原理和应用场景。
2. 通过仿真实验,评估不同编码算法的性能。
3. 分析编码算法在实际应用中的优缺点。
实验环境:1. 操作系统:Windows 102. 编译器:Visual Studio 20193. 仿真平台:MATLAB 2020a4. 编码算法:Huffman编码、算术编码、游程编码实验内容:1. 编写Huffman编码算法,实现字符序列的编码和解码。
2. 编写算术编码算法,实现字符序列的编码和解码。
3. 编写游程编码算法,实现字符序列的编码和解码。
4. 在仿真平台上,分别对三种编码算法进行性能评估。
实验步骤:1. 设计Huffman编码算法,包括构建哈夫曼树、编码和解码过程。
2. 设计算术编码算法,包括编码和解码过程。
3. 设计游程编码算法,包括编码和解码过程。
4. 编写仿真实验代码,对三种编码算法进行性能评估。
5. 分析实验结果,总结不同编码算法的优缺点。
实验结果及分析:一、Huffman编码算法1. 编码过程:- 对字符序列进行统计,计算每个字符出现的频率。
- 根据频率构建哈夫曼树,叶子节点代表字符,分支代表编码。
- 根据哈夫曼树生成编码,频率越高的字符编码越短。
2. 解码过程:- 根据编码,从哈夫曼树的根节点开始,沿着编码序列遍历树。
- 当遍历到叶子节点时,输出对应的字符。
3. 性能评估:- 编码长度:Huffman编码的平均编码长度最短,编码效率较高。
- 编码时间:Huffman编码算法的编码时间较长,尤其是在构建哈夫曼树的过程中。
二、算术编码算法1. 编码过程:- 对字符序列进行统计,计算每个字符出现的频率。
- 根据频率,将字符序列映射到0到1之间的实数。
- 根据映射结果,将实数序列编码为二进制序列。
2. 解码过程:- 对编码的二进制序列进行解码,得到实数序列。
实验1 AMI/HDB编译码仿真实验31.1 实验目的1、掌握AMI/HDB3码的编码规则。
2、掌握AMI/HDB3码的译码规则。
3、掌握MATLAB基本语法和软件操作。
1.2 基本原理1、AMI/HDB3码的编码规则AMI码的编码规律是:将二进制信息码的“1”码交替编码为“+1”码和“-1”码,而“0”码编码后仍为“0”码。
HDB3码的编码规律是:少于4个连“0”的情况按AMI码编码规则进行,4个连“0”二进制信息码用取代节“000V”或“B00V”代替,当两个相邻V码中间有奇数个信息“1”码时取代节为“000V”,有偶数个信息“1”码(包括0个信息“1”码)时取代节为“B00V”;其它的信息码中“0”码编码后仍为“0”码;信息码的“1”码编码后变为“+1”码或“-1”码。
HDB3码中“1”、“B”的符号与其前一个非“0”码的符号相反,符合交替反转原则;而“V”的符号与其前一个非“0”码的符号相同,破坏了符号交替反转原则;但相邻V码的符号又是交替反转的。
仿真程序设定AMI码与HDB3码波形的占空比为1,即“+1”码、“+B”码和“+V”码对应正脉冲,“-1”码、“-B”码和“-V”码对应负脉冲,而正脉冲和负脉冲的宽度τ与码元周期T S的关系是τ=T S,属于非归零波形。
设信息码为1000 0110 0000 1000 0000 0010,则NRZ码、AMI码,HDB3码及其波形如当信息代码连“0”个数太多时,从AMI码中较难于提取稳定的位同步信号,而HDB3中连“0”个数最多为3,这对提取高质量的位同信号是有利的。
这也是HDB3码优于AMI码之处。
2、AMI/HDB3码的译码规则AMI码的译码规律是:AMI码中的“0”对应原信码的“0”,“±1”对应原信码的“1”。
HDB3码的译码规律是:从收到的符号序列中可以容易的找到破坏点V,于是也断定V符号及其前面的三个符号必是连“0”符号,从而恢复4个连“0”码,再将所有剩下的“±1”变成“1”即可。
目录第1章总体设计方案 (1)1.1设计原理 (1)1.2设计思路 (1)1.3设计环境 (1)第2章详细设计方案 (3)2.1总体方案的设计与实现 (3)2.1.1总体方案的逻辑图 (3)2.1.2器件的选择与引脚锁定 (3)2.2功能模块的设计与实现 (4)2.2.1 移位寄存器模块的设计与实现 (4)2.2.2 计数器模块的设计与实现 (6)2.2.3 4-2编码电路模块的设计与实现 (8)2.2.4 并串转换模块的设计与实现 (10)2.3仿真调试 (12)第3章编程下载与硬件测试 (14)3.1编程下载 (14)3.2硬件测试及结果分析 (14)参考文献 (15)附录 (16)第1章总体设计方案1.1 设计原理4PPM码(0001 0010 0100 1000)经过解码后变成二进制数字序列(11 10 01 00)。
用移位寄存器来实现4PPM码串行输入,用相应的逻辑门电路实现4-2编码器,以及用改进的移位寄存器二进制序列串行输出,同时加上相应的时钟控制,通过这四部分组成4PPM码解码器,实现4PPM码到二进制序列的解码。
1.2 设计思路4ppm码解码器的设计主要包含如下4个部分:①移位寄存器;②计数器;③4-2编码器;④串行输入并行输出转换器。
在4个部分中分别设计实现相应功能的器件,包括逻辑门、D触发器、时钟信号等。
在连接具体电路时配合相应脉冲和门电路以达到预期效果。
4ppm码解码器的底层、顶层的设计都采用原理图设计输入方式,经编译、调试后形成4ppm.bit文件并下载到XCV200可编程逻辑芯片中,经硬件测试验证设计的正确性。
1.3设计环境(1)EDA环境•Xilinx foundation f3.1设计软件Xilinx Foundation F3.1是Xilinx公司主要的可编程器件开发工具,它可用来开发Xilinx 公司的Spar-tan,Virtex,XC3000,XC4000,XC5200系列的FPGA芯片和XC9500系列的CPLD芯片。
译码器与编码器的设计与仿真一、实验内容1、参照芯片74LS138的电路结构,用逻辑图与VHDL语言设计3—8译码器。
2、参照芯片74LS148的电路结构,用逻辑图与VHDL语言设计8—3优先编码器。
3、应用MaxplusII软件对译码器与编码器进行编译、仿真和模拟。
4、能更加熟练的掌握应用MaxplusII软件,从而更形象更深层次的理解译码器与编码器。
二、实验平台及实验方法用VHDL语言编写编码器与译码器的程序,运用MaxplusII 软件进行仿真,再结合FPGA(即对实验箱的芯片进行编译)进行验证。
也可以用原理图进行文本设计,波形设计。
三、实验过程1.启动MaxplusII软件;2.新建一个文本编辑文件,输入全加器的VHDL语言;3.编译。
点击file→save as,保存文件名为V74x148名称,扩展名为vhd,选择芯片类型为EPF10K20TI144-4,保存并进行编译,若编译结果出现0 error,0 warnings则说明编译通过。
4.仿真波形。
点Max+plus II→Waveform editor,出现波形图的设置界面,然后点Node→Enter Nodes from SNF→list,将输入输出端添加到界面,并设置其周期和输入波形,保存后,点Max+plusII→Simulator,即可仿真出输出的波形。
5.配置芯片。
点Max+plus II→Floorplan editor,将Unassigned Nodes & 栏中,电路的输入输出节点标号直接用鼠标“拖到” 想分配的引脚上,Max+plusII→programmer→configuer,然后就可以操作试验箱,观察全加器的工作情况。
四、实验结果实验步骤:1、用VHDL语言编写编码器的程序2、将上述程序保存为文件名为V74x148的文件,点击Maxplus里的compiler进行编译,点击start,如果出现0 error,0 warnings,则编译成功。
数字电路仿真实验报告编码器、译码器的仿真
姓名:
学号:
专业:
日期:
一. 实验目的
1)熟悉Multisim7仿真软件数电部分的使用;
2)掌握编码器、译码器和数码管逻辑功能和使用方法;3)能够利用编码器、译码器设计简单键盘编码显示电路。
二. 实验内容
1、软件介绍
2、用74147设计简单键盘编码电路,通过显示译码器实现数字码显示;
3、利用74147、7447和七段显示数码管设计简单键盘编码显示电路。
三. 实验设计原理图
(1)74147验证(利用printscreen键截图,显示学号最后一位)
(2)74147+7447显示电路(显示学号最后一位的截图)
四. 结论与体会
(1)本次实验失败之处及其原因分析
利用multisim进行数字电路实验仿真时,必须使用数字接地端DGND;
利用TTL集成芯片进行仿真时,电源使用VCC;用CMOS芯片进行仿真时,电源使用VDD;
优先编码器74147和四输入显示译码器之间连线时,注意高低位的对应;
74147为低电平输出有效,7447为高电平输入有效,故在两者之间必须加入非门7404。
(2)对本实验的可改进的地方的建议(选做)。
Turbo码的编译码原理及MATLAB仿真摘要纠错码技术作为改善数字通信可靠性的一种有效手段,在数字通信的各个领域中获得极为广泛的应用。
Turbo码是并行级联递归系统卷积码,在接近Shannon限的低信噪比下能获得较低的误码率,现已被很多系统所采用。
本文分析了Turbo码编码译码的原理,为了使Turbo码仿真更容易,研究并建立了基于Matlab中Simulink通信模块的Turbo码仿真模型。
使用所建立的模型进行仿真,结果表明,在信噪比相同的情况下,交织长度越大、迭代次数越多、译码算法越优,Turbo码性能越好,设计实际系统时,应综合考虑各因素。
关键词:Turbo码;Simulink仿真;交织长度;迭代次数AbstractAs an effective means to improve the reliability of digital communication, error correcting code technology is widely used in the field of digital communication.Turbo code is a parallel concatenated recursive systematic convolutional code, which can obtain lower bit error rate in the low SNR near Shannon limit,which is now used by many systems.In this paper,the principle of Turbo coding and decoding is analyzed,in order to make the Turbo Code simulation easier,a Turbo code simulation model based on Simulink module of Matlab is studied. Simulation result using the established model shows that the longer interleaving length,the more iteration times and the better decoding algorithm bring the better Turbo code performance with the same SNR value.Keywords:Turbo code;Simulink simulation;Interleaving length;Iteration times;引言根据Shannon[1]有噪信道编码定理,在信道传输速率R不超过信道容量C的前提下,只有在码组长度无限的码集合中随机地选择编码码字并且在接收端采用最大似然译码算法时,才能使误码率接近为零。
方向设计报告课程名称:通信工程方向设计设计名称:2/3卷积码编译码器仿真与性能分析方向设计任务书学生班级:学生姓名:学号:设计名称:2/3卷积码编译码器仿真与性能分析起止日期:2011.12.12-2012.1.6指导教师:设计要求:(1)分析2/3卷积码编码器结构;(2)分析2/3卷积码译码的Viterbi算法;(3)基于SIMULINK进行2/3卷积码的纠错性能仿真;方向设计学生日志时间设计内容12.15-12.17 查看题目及设计要求。
12.18-12.23 查阅相关资料,设计方案。
12.23-12.27 编写报告及调试程序。
12.28-12.29 完善修改课程设计报告。
12.30-12.31 答辩。
方向设计考勤表周星期一星期二星期三星期四星期五方向设计评语表指导教师评语:成绩:指导教师:年月日2/3卷积码编译码器仿真与性能分析摘要:卷积码是一种性能优越的信道编码。
它的编码器和译码器都比较容易实现,同时它具有较强的纠错能力。
随着纠错编码理论研究的不断深入,卷积码的实际应用越来越广泛。
本文简明地介绍了卷积码的编码原理和Viterbi译码原理。
并在SIMULINK模块设计中,完成了对卷积码的编码和译码以及误比特统计整个过程的模块仿真。
最后,通过在仿真过程中分别改变卷积码的重要参数来加深理解卷积码的这些参数对卷积码的误码性能的影响。
经过仿真和实测,并对测试结果作了分析。
关键词:卷积码编码器、viterbi译码器、SIMULINK目录一设计目的和意义........................................... 错误!未定义书签。
二设计方法................................................. 错误!未定义书签。
三设计原理................................................. 错误!未定义书签。
课程设计I(数据通信原理)设计说明书题目:3B4B编码与译码的设计与仿真樊佳佳学生姓名学号班级网络工程1301班成绩指导教师贾伟数学与计算机科学学院2015年 9 月 12 日课程设计任务书2015—2016学年第 1 学期课程设计名称:课程设计I(数据通信原理)课程设计题目:3B4B编码与译码的设计与仿真完成期限:自 2015 年 8 月 11 日至 2015 年 9 月 11 日共 2 周设计内容:设计一种数字基带传输中的一种编译码系统(HDB3、AMI、CMI、2B1Q、3B4B、曼切斯特、差分曼切斯特等选取一种)。
使用Matlab/Simulink仿真软件,设计所选择的基带传输的编码和译码系统。
系统能根据随机信源输入的二进制信息序列给出对应的编码及译码结果,并以图形化的方式显示出波形,能观察各分系统的各级波形。
指导教师:教研室负责人:课程设计评阅摘要设计一个码元信息传递系统,包括编码和译码两部分,这个系统可以高效地传递信息。
该系统是基于matlab/simulik实现的,设计数字电路来实现码元由3bit 一组到4bit一组的转换,提高信息的传输效率。
关键词: 3B4B ; 编码器; 译码器目录目录...............................................................1.课题描述..............................................................2.3B4B码编译码模块设计.................................................2.1 3B4B码编译码原理...............................................2.2 3B4B编码器原理及框图.........................................2.3 3B4B译码器原理及框图..........................................2.4 编译码程序图...................................................3.3B4B编译码程序图的参数设置及其仿真结.................................3.1仿真系统中模块参数设置和仿真实验结果............................4.总结..................................................................5.参考文献..............................................................1.课题描述设计一种数字基带传输中的一种编译码系统(HDB3、AMI、CMI、2B1Q、3B4B、曼切斯特、差分曼切斯特等选取一种)。
光纤通信系统5B6B码译码的设计与仿真中文摘要在电力系统通信中,数字光纤通信由于其本身的优点得到越来越广泛的应用。
在数字光纤通信系统中,从电端机传输过来的电信号均要结合数字光纤通信传输的特点经过线路码型的转换。
通过线路码型的转变平衡数字码流中的“0”和“1”码字,从而避免码流中出现长“0”或者长“1”的现象。
在数字光纤通信系统中比较常用的线路码型就是mBnB码型,mBnB线路码型的最大优点是最大一样码元连码数少、定时信息丰富、且有简单成熟的误码监测与码组同步的方法。
5B6B码编码是将自己编写的数据按照一定的规律,编成6B码流后输出;而译码则是将传输到接收机的6B码流,翻译成5B码流后输出。
5B6B码译码的过程是编码的逆过程,本文主要涉与到的是译码的过程,译码部分由序列产生模块、缓冲模块以与译码模块构成。
这篇论文重要介绍了光纤通信系统的优缺点,组成以与发展趋势;常见的线路码型;Quartus2软件的介绍;5B6B码的译码原理和设计,最后对程序以与电路译码的仿真,最终得到正确的结果。
关键词:5B6B码译码;FPGA;VHDL 语言;Quartus2 软件;波形仿真5B6BCode Decoding of Optical Fiber CommunicationSystem Design and SimulationABSTRACTIn power system communication, digital optical fiber communication because of its advantages to get itself more and more widely used. In the digital optical fiber communication system, from the electric machine transmission to come over to the electrical signals to combine the characteristics of the digital optical fiber communication transmission via the line type conversion. Through balance the digital stream line type "0" and "1" in the code word, thus avoiding stream in "0" or "1" long. Are frequently used in the digital optical fiber communication system in the line type is mBnB type, the biggest advantage of this type is the same biggest mBnBline element even less yards, timing, abundant information, simple and mature method of error monitoring and synchronization code group.5B6B code coding is to write your own data, according to certain rules into after 6B stream output; The decoding is 6B stream will be transmitted to the receiver, after translated into 5B stream output. 5B6B code decoding is the process of the inverse process of coding, this paper mainly involves is the process of decoding, decoding part produced by sequence module and buffer module and decoding module. This paper the advantages and disadvantages of important opticalfiber communication system are introduced, the composition and development trend; Common line type; The introduction of Quartus2 software; 5B6B code decoding principle and design, finally, the decoding process and circuit simulation, finally get the correct result.KEY WORD:5B6B decoding; FPGA; VHDL language; Quartus2 software; Waveform simulation目录第一章光纤通信系统11.1光纤通信的优点与缺点11.2光纤通信系统的组成21.3光纤通信的发展趋势3第二章光纤通信中的线路编码52.1线路扰码52.2mBnB码62.3插入码6第三章 Quartus2 软件的简单介绍73.1 创建工程文件73.2 设计输入73.3 设计项目编译83.4 项目的仿真83.5 编程下载8第四章 5B6B码译码104.1 5B6B码译码原理104.2 5B6B码译码的设计114.3 译码电路的电路模块划分124.3.1序列产生模块124.3.2译码模块124.4 5B6B码译码的VHDL程序设计134.4.1 序列产生模块的设计与仿真134.4.2 缓冲电路模块的设计与仿真154.4.3 译码模块的设计与仿真184.4.4 顶层原理图的设计与仿真204.5禁码的还原234.6 5B6B码译码设计与仿真总结24第五章结束语25参考文献错误!未定义书签。
实验三-译码器与编码器的设计与仿真实验三译码器与编码器的设计与仿真一、实验目的:实现译码器与编码器的设计与仿真。
二、实验内容1.用逻辑图设计3-8译码器,再用VHDL语言设计参数化的译码器;2.参照芯片74LS148的电路结构,用逻辑图和VHDL语言设计8-3优先编码器。
三、实验步骤。
(一)、 8-3编码器、3-8译码器的逻辑图及逻辑表达式。
1.8-3编码器:逻辑框图:逻辑图:2.3-8译码器:2.3-8译码器:逻辑框图:逻辑图:1.8-3编码器:library ieee;use ieee.std_logic_1164.all;entity bianmaqi isport(clk,clr:in std_logic;d:in std_logic_vector(7 downto 0); q:out std_logic_vector(2 downto 0)); end bianmaqi;architecture y of bianmaqi isbeginprocess(d)begincase d iswhen"01111111"=>q<="000";when"10111111"=>q<="001";when"11011111"=>q<="010";when"11101111"=>q<="011";when"11110111"=>q<="100";when"11111011"=>q<="101";when"11111101"=>q<="110";when"11111110"=>q<="111"; when others=>q<="111";end case;end process;end y;2.3-8译码器:library IEEE;use IEEE.std_logic_1164.all;entity yimaqi isport (A : in std_logic_vector (2 downto 0);S1,S2,S3 : in std_logic;Y : out std_logic_vector (7 downto 0));end entity;architecture yimaqi of yimaqi issignal s : std_logic_vector(2 downto 0); beginS <= S1&S2&S3;process(A, S)beginY <= (others => '1');if S="100" thencase A iswhen "000" => Y <= "11111110"; -- 0when "001" => Y <= "11111101"; -- 1when "010" => Y <= "11111011"; -- 2when "011" => Y <= "11110111"; -- 3when "100" => Y <= "11101111"; -- 4when "101" => Y <= "11011111"; -- 5 when "110" => Y <= "10111111"; -- 6 when "111" => Y <= "01111111"; -- 7when others => NULL;end case;end if;end process;end architecture;四、实验仿真结果。
封面作者:Pan Hongliang仅供个人学习摘要本课程设计主要解决信源编码和信道编码中的卷积码的数字调制,信源输出的模拟信号要转换成数字信号,就需要对信源信道进行编码译码操作,并通过Simulink软件进行设计与仿真,进行误码率分析。
卷积码是一种性能优越的信道编码。
它的编码器和译码器都比较容易实现,同时它具有较强的纠错能力。
随着纠错编码理论研究的不断深入,卷积码的实际应用越来越广泛。
本文简明地介绍了卷积码的编码原理和译码原理。
并在SIMULINK模块设计中,完成了对卷积码的编码和译码以及误比特统计整个过程的模块仿真。
最后,通过在仿真过程中分别改变卷积码的重要参数来加深理解卷积码的这些参数对卷积码的误码性能的影响。
经过仿真和实测,并对测试结果作了分析。
得出了以下三个结论:(1)当改变卷积码的码率时,系统的误码性能也将随之发生变化。
(2)对于码率一定的卷积码,当约束长度N 发生变化时,系统的误码性能也会随之发生变化。
(3)回溯长度也会不同程度上地影响误码性能。
关键词:编译码器;simulink;设计与仿真卷积码;码率ABSTRACTThis course is designed to solve source coding and channel coding convolutional codes in the digital modulation, the source output analog signals into digital signals to be converted to the need for the source and channel coding and decoding operations, and through the Simulink software design and simulation, and the bit error rate analysis. Convolutional code is a superior performance of channel coding. Its encoder and decoder are relatively easy to implement, and it has a strong error correction capability. Error-correcting coding theory research with the deepening of the practical application of convolutional codes more widely. This concise introduction to the principles of convolutional coding and decoding principles. SIMULINK module in the design, completed the coding and decoding convolutional code and bit error statistics of the whole process simulation module. Finally, changes in the simulation process of convolutional codes, respectively, to deepen our understanding of the important parameters of these parameters on convolutional codes convolutional codes error performance. The simulation and measurement, and test results are analyzed. Reached the following three conclusions:(1) When the change rate convolutional code, the system error performance will subsequently change.(2) For a certain rate convolutional codes, when the constraint length N changes, the system BER performance also will be changed.(3) back length will be affected to varying degrees, error performance. Keywords: codec; simulink;design and simulation of convolutional code目录第1章引言 (1)1.1 课题背景 (1)1.2 国内外研究现状 (1)1.3 本课题的意义 (1)1.4 本课题的研究方法 (2)第2章 MATLAB组件介绍及通信系统概述 (3)2.1 MATLAB与通信仿真的发展现状及应用 (3)2.2 通信系统概述与组成 (3)2.3 通信仿真 (4)2.4 Simulink仿真及工作原理 (6)第3章信源编码 (8)3.1 A律μ律编码特性 (8)3.1.1 A律编码 (8)3.1.2 μ律编码 (8)3.1.3 A律13折线 (9)3.2 A律、μ律的特性比较 (11)第4章信道编码及译码 (15)4.1 卷积码的概念介绍 (15)4.2 卷积码的编码过程介绍 (15)4.3 卷积码译码过程的概述 (16)4.4 卷积码的最大似然译码 (19)4.5 输入与同步单元 (21)第5章卷积码的仿真 (22)5.1 Simulink仿真模块的参数设置以及重要参数的意义 (22)5.2 简化维特比译码器的仿真 (26)第6章卷积码译码器的误码率分析 (28)6.1信噪比与误码率的关系 (28)6.2.不同回溯长度对卷积码性能的影响 (29)6.3 不同码率对卷积码误码性能的响 (31)6.4 不同约束长度对卷积码的误码性能影响 (32)第7章结论 (35)参考文献 (36)致谢 (37)第1章引言1.1 课题背景随着现代通信的发展,高速信息传输和高可靠性传输成为信息传输的两个主要方面,而可靠性尤其重要。
课程设计I(数据通信原理)
设计说明书
题目:3B4B编码与译码的设计与仿真
学生姓名樊佳佳
学号1318064017
班级网络工程1301班
成绩
指导教师贾伟
数学与计算机科学学院
20XX年 9 月 12 日
课程设计任务书
20XX—20XX学年第1 学期课程设计名
称:
课程设计I(数据通信原理)
课程设计题
目:
3B4B编码与译码的设计与仿真
完成期限:自20XX 年8 月11 日至20XX 年9 月11 日共2 周
设计内容:
设计一种数字基带传输中的一种编译码系统(HDB3、AMI、CMI、2B1Q、3B4B、曼切斯特、差分曼切斯特等选取一种)。
使用Matlab/Simulink仿真软件,设计所选择的基带传输的编码和译码系统。
系统能根据随机信源输入的二进制信息序列给出对应的编码及译码结果,并以图形化的方式显示出波形,能观察各分系统的各级波形。
指导教师:教研室负责人:
课程设计评阅
摘要
设计一个码元信息传递系统,包括编码和译码两部分,这个系统可以高效地传递信息。
该系统是基于matlab/simulik实现的,设计数字电路来实现码元由3bit一组到4bit一组的转换,提高信息的传输效率。
关键词:3B4B ; 编码器; 译码器
目录
1.课题描述
设计一种数字基带传输中的一种编译码系统(HDB3、AMI、CMI、2B1Q、3B4B、曼切斯特、差分曼切斯特等选取一种)。
使用Matlab/Simulink仿真软件,设计所选择的基带传输的编码和译码系统。
系统能根据随机信源输入的二进制信息序列给出对应的编码及译码结果,并以图形化的方式显示出波形,能观察各分系统的各级波形。
最后根据课设的内容和设计的结果,书写课程设计说明书一份(按格式书写)。
说明书中要求画出整个系统框图、各点波形,并说明工作原理。
在图中表示出各点波形。
系统能测试其各分系统波形的频谱特性,在图中表示出各点频谱。
根据通信原理,并分析说明各个模块的参数(例如码速率,滤波器的截止频率等)。
通过本次的实践,要求学生完成以下任务:
1)对课本知识的全面复习,了解基带编码与译码原理;
2)对MATLAB仿真软件的学习,能够使用该工具进行基带编码和译码的仿真验证;
3)通过团队合作,完成编码与译码的设计,并用MATLAB软件进行仿真验证;
4)课程设计的结果全面正确,功能模块清晰分明;
5)加强团队合作精神,开拓创新能力;
6)文档资料完整规范。
2.3B4B码编译码模块设计
2.1 3B4B码编译码原理
3B4B码是在本次中需要重点了解和掌握的一种线路码型,并且根据3B4B的编码特点和编码原理,设计出符合中各项指标要求的编译码器。
在3B4B的线路码型中,输入的原始码流3B码,共有8个码字,变换为4B码时,共有16个码字,见表2.1。
为保证信息的完整传输,必须从4B码的16个码字中挑选8个码字来代替3B码。
设计者应该根据最佳线路码特性的原则来选择码表。
大多数mBnB都采用两种模式编码,两种模式交替使码字中的不均值为零,这种编码电路多采用可编码只读存储器PROM查找表的方法实现。
下面介绍一种3B4B码,可用更为简单的电路实现,其编码规则具体说明如下:
先将输入的数字信号每3b为一码字,在同样长的时隔内变换成4b一组的输出码字。
(1)变换后4b的一个码字中,第1与第4比特位分别由变换前3b码字中的第1与第3比特位取反而得到;
(2)变换前第1与第2比特位分别作为变换后的第2和第3比特位。
其编码情况如表1所示。
从编码表可以看出,这种编码规则不仅简单,且具有以下2个突出的特点:
(1)编码后每组码字的前两位都有极性翻转,定时信息丰富,有利于译码电路中分组信号的提取;
(2)连“0”和连“1”的分组经变换后都成为“0”,“1”均等的码字,对抑制光接收机中的基线浮动有利。
表1
3B 000 001 010 011 100 101 110 111 4B 1001 1000 1011 1010 0101 0100 0111 0110 2.2 3B4B编码器原理及框图
如图4.1所示,图中的串并变换和并串变换是移位寄存器。
首先将设计好的码表存入编码逻辑(PROM)内,待变换的信号码输入串并变换器后,在信号码时钟Cp1的作用下,数字信号在串并变换内移位,变为3比特一组的码,b1,b2,b3,并行输出作为编码逻辑(PROM)的地址码,从而完成将原始码流每3比特分成一组的分组过程。
编码逻辑(PROM)是集成元件构成的逻辑网,根据需要及功能,在本次中,采用的是PIC系列的单片机。
它的功能是跟据码表里的逻辑关系把信号码组变换成线路码组。
在地址码的作用下,编码逻辑(PROM)根据存储的码表输出与地址对应的并行4B码,进入并串变换器,在线路码时钟Cp2的作用下,移位而输出已变换的4B码流,完成编码过程
2.3 3B4B译码器原理及框图
光纤通信线路编/译码器的设计
如图4.2所示,译码过程和编码过程相反,译码器与编码器基本相同。
译码时,把送来的已变换4B信号码流,每4比特并联为一组,作为译码逻辑(PROM)地址,然后读出3B码,再经过并串变换还原为原来的信号码流
2.4 编译码程序图
下图1为3B4B码的编码图和译码图
图1.3B4B码的编码译码图编码器程序图:
图2.编码器
译码器程序图:
图3.译码器
编码解析:
如上图所示,图的前半部分为编码程序图,该图先将一串随机01串,串转并,转化为3b一码字,将其按下面规则处理:3B:1B ,2B,3B;4B:1b,2b,3b,4b; 1b=1B取反,2b=1B,3b=2B,4b=3B取反;处理过后产生4个数字的并行码,再并转串,最后在显示器上,显示原数据串和转化为4B的数据串,比较其中的区别。
译码解析:
将得到的4B数据串串转并处理,传化为4b一码字,再按照以下规则处理:1B=2b,2B=3b,3B=4b取反;经过程序处理后得到3个数字的并行码,再并转串,将得到的数据串和原始数据串显示在显示器上,忽略时间延迟,两个数据串的波形图应是相同的。
3.3B4B编译码程序图的参数设置及其仿真结3.1仿真系统中模块参数设置和仿真实验结果图
以下为程序中重要物理模块的参数设置图:
当出现0的概率为0的效果图
图3.1 编码图
图3.2译码图
当出现0的概率为1时的效果图如下
图3.3编码图
图3.4译码图
当出现0的概率为0.5时的效果图如下
图3.5 编码图
图3.6 译码图
4.总结
通过实验证明这种3B4B编译码器原理是可行的,但编码后码速提高约为13%,因此,对于高速信号进行这种编译码必然会受到一般器件速度的约束。
有两个方案可以解决这个问题,一方面上述的3B4B 编译码器原路可以用于5B6B、7B8B等类似的mBnB编码,选取较大的m、n值,就可降低编码后的码速提高率。
另一方面,可以将上述的编译器电路固化在一片集成电路中,不仅可以满足高速信号的要求,同时也减小了系统的规模。
当然在具体设计时,需要一定的方法及技巧,目前我们正在进行这方面的探索和研究。
通过这次课程设计我的收获匪浅,我学会了用matlab软件对3B4B码的编码译码程序图的设计,同时,也熟悉了matlab 的使用。
以及了解到3B4B的特点。
5.参考文献
张宝富等. 《现代光纤通信与网络教程[M]》.20XX 谭扬林《光纤通信系统[M]》.2000
吴德明.《光纤通信原理与技术》.20XX
解金山,陈宝珍《光纤数字通信技术》.1997。