基于FPGA的新型步进电机驱动系统
- 格式:pdf
- 大小:494.90 KB
- 文档页数:3
《基于FPGA控制的步进电机细分驱动器的设计与现实》篇一一、引言步进电机作为现代自动化系统中的关键元件,广泛应用于精密定位、自动化装配和机器人技术等领域。
步进电机驱动器是控制步进电机运动的核心部件,而基于FPGA(现场可编程门阵列)控制的步进电机细分驱动器则因其高集成度、可编程性和高性能等特点,逐渐成为研究热点。
本文将详细介绍基于FPGA控制的步进电机细分驱动器的设计与实现过程。
二、系统设计概述本系统设计的主要目标是实现步进电机的细分驱动,以提高电机的运动精度和稳定性。
系统主要由FPGA控制器、步进电机、驱动电路和电源电路等部分组成。
其中,FPGA控制器负责接收上位机指令,对步进电机的运动进行精确控制;驱动电路则负责将FPGA控制器的输出信号转换为电机所需的驱动信号。
三、硬件设计1. FPGA控制器设计FPGA控制器是本系统的核心部件,其设计主要包括接口电路、控制逻辑和存储器等部分。
接口电路负责与上位机进行通信,接收控制指令;控制逻辑则根据指令对步进电机的运动进行精确控制;存储器用于存储程序和数据。
2. 驱动电路设计驱动电路是连接FPGA控制器和步进电机的桥梁,其设计需要考虑电机的驱动要求、电源电压和电流等因素。
本系统采用H 桥驱动电路,通过控制H桥的通断来实现电机的正反转和停转。
3. 电源电路设计电源电路负责为整个系统提供稳定的电源电压。
本系统采用开关电源和线性电源相结合的方式,以保证电源的稳定性和可靠性。
四、软件设计1. FPGA程序设计FPGA程序是控制步进电机运动的关键,其设计主要包括电机控制算法、通信协议和驱动程序等部分。
本系统采用Verilog HDL语言编写FPGA程序,通过编程实现对步进电机的精确控制。
2. 上位机软件设计上位机软件负责发送控制指令给FPGA控制器,其设计主要包括通信接口、控制界面和指令生成等部分。
本系统采用C语言编写上位机软件,通过串口或网络与FPGA控制器进行通信,实现对步进电机的远程控制。
基于 FPGA 的步进电机控制系统设计摘要:步进电机是一种将电脉冲信号转化为机械角位移或线位移的机电元件,因其具有成本低、易于精确控制、无累积误差等优点,在生产、生活中的很多领域有广泛应用。
本文以两相混合式步进电机为控制对象,在分析步进电机的特点和工作原理的基础上,设计了基于 FPGA 的两轴联动控制和细分驱动控制的实现方案。
关键词:步进电机;两轴联动;细分驱动1 步进电机的控制原理步进电机的控制原理可归纳为以下两点:(1)换相顺序的控制,通电状态的切换。
这一过程称为“脉冲分配”。
如:四相步进电机的单四拍工作方式,其各相绕组通电顺序为 A-B-C-D-A。
控制电机 A、B、C、D 相的控制脉冲应严格按照这一顺序执行,如果通电顺序按 A-D-C-B-A,则电机的转向发生改变,即控制步进电机的通电顺序可控制步进电机的转动方向。
(2)步进电机的速度控制。
步进电机的转动快慢和控制脉冲信号的频率有关,当步进电机接收到一个控制脉冲信号,它就转动一步,再来一个脉冲,它会再转一步。
发出的两个脉冲信号的时间间隔越短,步进电机的转动就越快。
调整控制器发出的脉冲信号的频率,就可以对步进电机进行调速控制。
2 步进电机的两轴联动插补控制采用插补算法实现对多个设备的联动控制,是目前常使用的一种方法。
插补是指在起点和终点之间插入一些中间点的过程。
通过插补算法的分析比较,本文设计的方案采用数字积分直线插补算法,基于 VerilogHDL 语言设计了步进电机两轴联动控制的 DDA 程序,并结合步进电机的工作方式,来实现基于 FPGA 的步进电机两轴联动控制。
该设计方案有利于步进电机的并行控制和实时控制。
2.1 步进电机联动控制的设计方案为了验证 DDA 插补的可行性,本文结合步进电机的工作方式进行验证。
图2.1是联动控制系统的方框图。
控制系统电路中主要包含了三大模块:分频器、DDA插补器和步进电机工作方式控制器。
步进电机需要脉冲信号来驱动,但是FPGA开发板上提供的有源晶振频率一般是50MHz,这个频率不能驱动步进电机运转,必须进行分频。
基于FPGA控制的步进电机细分驱动系统的开题报告一、选题背景及意义随着工业自动化和数字化的迅猛发展,越来越多的机械设备使用步进电机作为执行元件,以达到高效、精准、低噪声的运动控制。
但是现实工程中,常常需要对步进电机进行细分控制,以提高马达的运动精度和平滑度,进而提高机械系统的运动性能和工作效率。
因此,设计一套可靠的步进电机细分驱动系统是有意义的。
二、研究内容和目标本课题基于FPGA控制的思路,通过对步进电机的驱动方式和控制策略建模,利用FPGA芯片处理器进行实时控制和数据处理,设计出一种高性能、高可靠性的步进电机细分驱动系统。
具体研究内容如下:1. 研究步进电机的基本原理和驱动方式;2. 建立步进电机的数学模型,探究细分驱动的原理及其实现方法;3. 设计一个基于FPGA芯片的步进电机细分控制器,实现驱动信号的实时处理、数据的输入输出和控制算法的运行;4. 编写FPGA代码和控制程序,测试马达和控制器性能并进行性能评价。
三、研究方法和技术路线本研究主要采用以下方法和技术来实现步进电机细分驱动系统:1. 研究资料法:对现有的步进电机驱动系统和FPGA控制器进行现场调研和研究资料收集,明确研究方向和研究目标。
2. 数学建模法:针对步进电机的运动特性和控制需求,构建步进电机的数学模型,并分析其细分驱动策略和控制算法。
3. 硬件设计法:基于FPGA芯片的控制器设计,包括芯片选型、电路设计、PCB设计、硬件调试和性能测试等。
4. 软件编程法:利用高级编程语言和编译器,编写FPGA控制器的控制程序,实现控制指令下发、数据传输和控制算法执行等功能。
技术路线1. 步进电机驱动原理和数学建模;2. FPGA硬件设计和电路调试;3. Verilog HDL代码编写和仿真;4. 系统测试和性能评价。
四、预期成果和应用价值1. 设计出一套可靠的基于FPGA控制的步进电机细分驱动系统,有效提高步进电机的运动精度和平滑度。
2. 实现马达位置反馈、轴向细分控制和速度、加速度控制等复杂控制算法。
基于FPGA的LAMOST步进电机控制驱动系统的设计的开题报告一、选题背景及意义中国科学院紫金山天文台主导研制了国家级重大科学仪器——“大面积多目标光纤光谱天文望远镜”(LAMOST),也称为“郭守敬望远镜”。
该望远镜的建造,旨在有效解决目前天文观测的瓶颈问题——如何高效地收集样本数据。
LAMOST使用了一种全球首创的光纤光谱技术,可以在夜晚收集星光,并将其传递到光纤中,最终汇集到分光装置中进行测量分析。
为了实现高精度的光谱测量,步进电机成为了LAMOST望远镜的重要运动控制设备之一。
步进电机能够通过精确的步进控制实现角度或长度的精确定位,因此在望远镜的设计中被广泛应用。
然而,现有的LAMOST望远镜步进电机控制系统存在一些问题。
例如,系统控制精度不高,工作效率低等。
针对这些问题,本课题拟设计一种基于FPGA的LAMOST步进电机控制驱动系统,旨在提高系统的控制精度和运行效率,进一步提升LAMOST望远镜的工作效率和性能。
二、项目研究目标本课题的主要研究目标是设计并实现一种基于FPGA的LAMOST步进电机控制驱动系统。
具体目标包括:(1)设计硬件系统:设计基于FPGA的控制电路,在电路中实现LAMOST步进电机的驱动控制功能。
(2)实现控制算法:构建步进电机的控制算法,包括具有高精度和高效率的步进控制和位置检测算法。
(3)系统调试和优化:对系统进行模拟和实验测试,验证系统的可行性和优化性能。
三、预期研究成果通过本课题的实施和研究,预期可以实现以下研究成果:(1)实现一个基于FPGA的LAMOST步进电机控制驱动系统,大幅提高控制精度和运行效率。
(2)建立步进电机的控制算法,包括精确的步进控制和位置检测算法,可满足LAMOST望远镜的实际运行需求。
(3)优化控制系统的性能,在控制精度和速度上取得显著进展,提高LAMOST望远镜的工作效率和性能,为天文观测提供更好的数据支持。
四、研究方案与步骤本课题的研究方案包括以下步骤:(1)需求分析和载体选型:对LAMOST望远镜的步进电机控制要求进行分析,并确定FPGA作为硬件系统核心的选型。
《基于FPGA控制的步进电机细分驱动器的设计与现实》篇一一、引言步进电机是一种常见的电机类型,其具有精度高、运行平稳、易于控制等优点,广泛应用于各种自动化设备和精密机械系统中。
然而,传统的步进电机驱动器在细分控制方面存在一定局限性,无法满足高精度和高性能的应用需求。
因此,本文提出了一种基于FPGA(现场可编程门阵列)控制的步进电机细分驱动器设计方法,以提高步进电机的运行性能和控制精度。
二、背景及意义随着工业自动化和精密机械系统的发展,步进电机作为一种常用的驱动装置,在各种设备中得到了广泛应用。
然而,传统的步进电机驱动器在细分控制方面存在一定局限性,如控制精度低、运行效率低等问题。
为了解决这些问题,人们开始研究基于FPGA的步进电机细分驱动器设计方法。
FPGA具有可编程、高速度、低功耗等优点,可以实现对步进电机的精确控制和高性能驱动。
因此,基于FPGA控制的步进电机细分驱动器的设计与实现具有重要的理论和应用价值。
三、设计与实现1. 系统架构设计基于FPGA控制的步进电机细分驱动器系统主要由FPGA芯片、电源模块、步进电机和传感器等组成。
其中,FPGA芯片作为核心控制单元,负责接收上位机的控制指令,对步进电机进行精确控制。
电源模块为系统提供稳定的电源支持。
步进电机为系统的执行机构,根据FPGA的控制指令进行运动。
传感器用于检测步进电机的运行状态和位置信息,并将这些信息反馈给FPGA 芯片。
2. 硬件设计硬件设计主要包括FPGA芯片的选择和电路设计。
在选择FPGA芯片时,需要考虑其性能、功耗、价格等因素。
电路设计包括电源电路、控制电路、信号传输电路等。
其中,控制电路是核心部分,需要设计合理的逻辑控制电路来实现对步进电机的精确控制。
此外,还需要考虑信号传输的稳定性和抗干扰能力等因素。
3. 软件设计软件设计主要包括FPGA程序的编写和调试。
首先,需要根据步进电机的特性和控制要求,编写合适的算法和控制程序。
基于FPGA的步进电机控制器设计步进电机是一种常见的电动机,具有精准控制和高可靠性的特点。
而FPGA(Field Programmable Gate Array)是一种可编程逻辑器件,可以实现复杂逻辑功能。
结合FPGA和步进电机进行控制,可以实现更高精度和更灵活的控制方式。
首先,步进电机的控制需要确定三个参数:步进角度、步进速度和步进方向。
FPGA可以通过编程的方式实现对这些参数的实时控制。
基于FPGA的步进电机控制器设计需要实现以下几个模块:1.步进电机驱动器:这个模块负责将FPGA输出的控制信号转换为适合步进电机的电压和电流。
可以使用高驱动能力的电路来驱动步进电机,确保电机可以正常运行。
2.位置控制器:这个模块负责根据输入的步进角度和方向控制步进电机的转动。
可以使用计数器和比较器来实现精确的角度控制,通过FPGA 的编程方式可以实时调整步进角度和方向。
3.速度控制器:这个模块负责调整步进电机的转动速度。
可以使用定时器和计数器来实现一个精确的时间基准,通过调整计数器的数值来控制步进电机的速度。
FPGA的编程方式可以实时调整步进速度。
4.通信接口:这个模块负责与外部设备进行通信。
可以使用UART、SPI或者I2C等通信协议,通过FPGA的外部接口与其他设备进行交互。
以上几个模块可以通过FPGA内部的硬件描述语言(如VHDL或Verilog)进行编程实现。
通过FPGA的编程方式,可以实时调整步进电机的控制参数,提高步进电机的精度与稳定性。
但是,基于FPGA的步进电机控制器设计也存在一些挑战。
首先是硬件资源的限制,FPGA的资源有限,需要合理分配资源,确保系统的运行效率和稳定性。
其次是时序设计的复杂性,步进电机的精确控制需要高频率的脉冲信号,要求FPGA具备快速响应和高速计数的能力。
综上所述,基于FPGA的步进电机控制器设计可以实现精确控制和高可靠性,并且具有灵活性和可编程性,可以适应不同的应用场景。
《基于FPGA控制的步进电机细分驱动器的设计与现实》篇一一、引言随着科技的飞速发展,步进电机已经成为现代工业自动化领域中不可或缺的驱动装置。
步进电机细分驱动器作为步进电机控制的核心部分,其性能的优劣直接影响到步进电机的运行精度和效率。
传统的步进电机驱动器通常采用微控制器或DSP进行控制,但这些方案在处理高速、高精度的运动控制时存在一定局限性。
因此,本文提出了一种基于FPGA(现场可编程门阵列)控制的步进电机细分驱动器设计方案,并对其设计与实现进行详细阐述。
二、系统设计1. 硬件设计本系统主要由FPGA控制器、步进电机驱动模块、电源模块、信号采集与反馈模块等组成。
其中,FPGA控制器是整个系统的核心,负责接收上位机发送的控制指令,并通过算法计算出适当的细分控制信号,驱动步进电机进行精确运动。
步进电机驱动模块采用高电压、大电流的H桥电路,以实现对步进电机的有效驱动。
2. 软件设计软件设计主要包括FPGA程序设计、信号采集与处理算法设计等。
FPGA程序设计采用硬件描述语言(HDL)进行编写,实现步进电机的精确控制。
信号采集与处理算法则用于实时监测步进电机的运行状态,并将数据反馈给FPGA控制器,以便进行实时调整。
三、FPGA控制算法设计1. 细分控制算法步进电机的细分控制是提高其运行精度的重要手段。
本系统采用基于FPGA的细分控制算法,通过精确控制步进电机的相序和通电时间,实现步进电机的细分数可调。
同时,通过优化算法,降低电机的振动和噪音,提高电机的运行平稳性。
2. 运动控制算法运动控制算法是实现步进电机精确运动的关键。
本系统采用基于PID(比例-积分-微分)算法的运动控制策略,通过实时调整PID参数,实现对步进电机的精确位置和速度控制。
同时,通过引入前馈控制策略,进一步提高系统的响应速度和抗干扰能力。
四、系统实现与测试1. 硬件实现根据系统设计,完成FPGA控制器、步进电机驱动模块、电源模块、信号采集与反馈模块等硬件电路的搭建与调试。
基于FPGA的步进电机控制系统系统架构该控制系统的架构如下图所示:主要包含以下几个模块:1. 步进电机:负责驱动机械运动,实现精确定位和定速运动等功能。
2. FPGA芯片:作为控制系统的核心,负责接收指令并生成相应的控制信号,以驱动步进电机。
3. 电源模块:为步进电机和FPGA芯片提供所需的电源能量。
4. 控制器:与FPGA芯片进行通信,向其发送指令,并获取步进电机的状态信息。
工作原理该控制系统的工作原理如下:1. 控制器通过与FPGA芯片的通信接口,向其发送指令。
指令包括步进电机的转动方式、速度、转动角度等参数。
2. FPGA芯片接收到指令后,根据指令生成相应的控制信号。
控制信号经过驱动电路放大、滤波等处理后,通过驱动器将信号传递给步进电机。
3. 步进电机根据接收到的控制信号,进行精确定位和定速运动。
步进电机的位置信息通过编码器等反馈装置反馈给FPGA芯片。
4. FPGA芯片根据步进电机的状态信息,不断调整控制信号,以实现步进电机的精确控制。
系统特点该基于FPGA的步进电机控制系统具有以下特点:1. 高可靠性:采用FPGA芯片作为控制核心,具有较高的抗干扰能力和可靠性,保证了步进电机的精确控制。
2. 高性能:FPGA芯片的高速运算能力和并行处理能力,使得控制系统能够实时响应指令,实现高速运动和精确定位。
3. 灵活性:FPGA芯片可重新编程,允许灵活定制控制算法和功能,满足不同应用需求。
4. 简化电路:通过集成控制器和驱动电路,减少了电路复杂性,降低了系统成本和维护成本。
应用领域基于FPGA的步进电机控制系统广泛应用于以下领域:1. 机械自动化:如自动装配线、自动化包装设备等,实现对机械运动的精确控制和定位。
2. 机器人技术:如工业机器人、服务机器人等,实现对机器人关节和末端执行器的精确控制。
邮局订阅号:82-946360元/年技术创新PLD CPLD FPGA 应用《PLC 技术应用200例》您的论文得到两院院士关注王宝忠:副教授基于FPGA 的新型步进电机驱动系统The New Control in Stepping Motor Driver System Based On FPGA(江苏科技大学)王宝忠王歆WANG Bao-zhong WANG Xin摘要:美国Allegro 公司推出的A3972型串口控制器是步进电机微步距驱动专用电路。
一个A3972外加一个CPU 即可实现步进电机的微步距驱动,本文用VHDL 语言对FPGA 进行编程仿真,产生A3972芯片所需的驱动脉冲时序,达到对步进电机的控制,简化了步进电机控制的实现。
关键词:A3972;FPGA;VHDL;步进电机驱动中图分类号:TN383.6文献标识码:BAbstract:A serial controlled motor driver A3972has been developed by Allegro Microsystems specifically to drive stepper motors in a micro -stepping format.One A3972and a simple CPU can construct a stepper motor driver system,this paper chiefly introduces using VHDL for programming and simulating in FPGA,in this way,the pulse of driver and control of motor can be achieved.furthermore,it simplified the realization of the controlling of the stepping motor Key words:A3972;FPGA;VHDL;stepping motor driver文章编号:1008-0570(2009)03-2-0213-021前言步进电机是一种离散运动的装置,步进电机驱动器通过外加控制脉冲,并按环形分配器决定的分配方式,控制步进电机各相绕组的导通或截止,从而使电机产生步进运动[1]。
传统的步进电机控制一般都用单片机或PLC 作为控制器产生脉冲,然后加上环形分配器、功率驱动部分,最后连接电机,其中软硬件实现都较为困难。
本文利用A3972全桥微步距PWM 步进电机驱动器,用大规模可编程器件FPGA 对步进电机进行控制,从而简化步进电机控制的实现。
2系统构成及工作原理图1所示的为系统构成图,上位机主要完成给定位置指令,数据发送等控制功能,FPGA 主要实现A3972全桥微步距PWM 步进电机驱动器所需的控制时序。
本文给出FPGA 设计单个计数器产生脉冲来驱动控制单个步进电机。
图1系统构成2.1A3972工作方式及其引脚功能A3972是美国Allegro 公司生产的一款双DMOS 全桥微步距脉宽调制(PWM)步进马达驱动集成电路,利用CPU 通过3线(时钟、数据、选通)串行接口对其进行控制,可设置步进电机的运转方向及各种不同的步进法(从微步距到满步驱动),对步进电机的转矩控制具有很大的灵活性。
其主要特点如下(1)串行接口功能;(2)±1.5A 的连续输出电流;(3)工作电压可至50V;(4)PWM 电流控制;(5)慢速、快速和混合电流衰减模式;(6)6位线性D/A 转换电路(DAC);(7)内部设有瞬态抑制二极管;(8)带有温度保护功能,内部设有过热关断电路;(9)有交叉电流保护和欠压保护(UV -LO)功能;(10)低功耗的同步整流。
图2为A3972的封装形式和引脚定义。
对A3972的控制是通过3条串行接口线(CLOCK 、DATA 、STROBE)来实现的。
在FPGA 和A3972串行通信时,每个位数据在移位时钟的上升沿移入驱动芯片,每次要传送19个bits,先传送高位D18,最后传送最低位D0。
A3972有二种数据模式:桥电流数据设置模式和控制时间数据设置模式。
D0选择串行数据为桥数据(D0=0)或时间数据(D0=1)。
D0为桥数据时,D1-D6用于设定通过H 桥1的电流等级;D7-D12用于设定通过H桥2的电流等级;D13决定通过负载绕组1的电流方向;D14决定通过负载绕组2的电流方向;D15决定H 桥1电流衰减模式;D16决定H 桥2电流衰减模式;D17决定参考电压方式;D18为电流范围因子选择(4或8)。
D0为时间数据时,D1、D2为消隐时间设定,此两位用于设置电流检测比较器的封锁时间。
D3-D7位设置内部PWM 控制电流的固定关断时间,有下式确定:式中N=0,……,31图2A3972引脚定义D8-D11用于设置内部PWM 控制电路固定关断时间的快速衰减时间部分。
快速衰减时间由下式确定:式中N=0,……,15;若>,则器件将有效地工作在快衰变模式。
D12-D13用于振荡器控制;A3972内部具有一个4MHz 的FPGA[(1)8/]1/ÁÂÂÁÃÄÁÃÄt N f f ???? [(1)8/]1/fd osc osct N f f ???? ÁÂÂt ÁÂt 213--技术创新《微计算机信息》(嵌入式与SOC)2009年第25卷第3-2期360元/年邮局订阅号:82-946《现场总线技术应用200例》PLD CPLD FPGA 应用振荡器用于定时功能和电荷泵时钟。
若需要更精确的控制,也可在OSC 引脚输入外部振荡器信号,并可设置内部分频器以产生所需要的时钟频率;D14-D15用于设置同步整流;D16-D17为保留位,用于工厂测试。
在正常工作期间应置0;D18为空闲模式,若写“0”至D18,器件进入低功耗“空闲”模式。
若在空闲方式下使器件重新工作,应先将D18置位1ms 。
图3是A3972的典型应用电路图。
从图中可以看出,只需要少量的RC 元件和几根控制线就可构成一个完整的微步距驱动二相步进电机电路。
其连续输出的额定电流可以达到1.5A 、电压50V 。
图3A3972典型应用电路2.2FPGA 的设计与仿真随着数字电路和大规模可编程逻辑器件的发展,出现了大规模可编程逻辑器件(FPGA )。
FPGA 功能强大,使用灵活,运用VHDL 语言,对于已经完成的设计,在不改变源程序的条件下,只需要改变端口的参量,就能轻易的改变设计的规模和结构。
FPGA 能很方便的和A3972芯片连接,直接控制步进电机。
本文采用Atlera 公司的QuartusII 软件对FPGA 进行开发和仿真,采用行为描述方式,分层设计的方法。
底层模块的divide 、control 和shift 由VHDL 硬件描述语言进行设计,顶层采用图形方式,完成3个底层模块的连接。
利用VHDL 实现的FPGA 顶层电路模块逻辑结构如图4所示。
图4顶层电路模块模块divide 是对50MHZ 的时钟脉冲信号进行四分频,对串行数据的采集提供时钟脉冲。
程序:process(clk)beginif clk'event and clk='1'then cnt<=cnt+1;end if;end process;div4<=cnt(1);模块control 产生芯片所需要的strobe 信号,strobe 信号与时钟分频信号进行逻辑运算得到芯片所需的时钟脉冲信号。
程序:process(reset,on_off)begin if reset='1'then strobe1<='1';elsif on_off'event and on_off='1'then strobe1<=not strobe1;end if;strobe<=strobe1;模块shift 是一个19位的移位寄存器。
Load 信号有效时(‘1’),移位寄存器载入19位并行数据。
在时钟上升沿到来时,寄存器依次左移,并在右端输入(‘0’),实现了19位并行输入串行输出的数据高位输出[4],以及sleep 信号。
部分VHDL 程序如下:process(clk,load)beginif sleep1='1'then sleep<='1';if load='1'then temp<=data;elsif rising_edge(clk)then o<=temp(18);temp<=temp(17downto 0)&'0';end if;end if;end process;q<=o;图5是用QuartusII 软件进行编译、仿真后的结果图5仿真后的时序图3结束语本文利用A3972步进电机驱动芯片,实现对小型步进电机的驱动,这种方法设计简单、调试方便、运行可靠、对硬件要求较低,同时本文用FPGA 实现对A3972的控制,对硬件的要求进一步降低,大大提高了灵活性。
本文作者的创新点:A3972可以工作在整步、半步、1/4步、1/8步、1/16步、1/32(微步距)多种方式下。
其特有的3种电流衰减模式可以使步进电机工作在不同的负载和转速下,而且都能获得较理想的电流波形。
利用FPGA 产生输入脉冲对A3972芯片进行控制,无论从软件硬件角度来看都大大简化了对步进电机控制的实现。
本项目的经济效益:200万元。
参考文献[1]基于FPGA 的集成式步进电机驱动器的研究[J].微计算机信息,2008,3-2:210-212[2]莫钊,陈先保.双全桥微步距PWM 马达驱动器A3972芯片及应用[J].计算机与现代化,2005,12:103-105。
[3]胡亚山,刘卫国.新颖的串行控制步进电机驱动器[J].国外电子元器件,2005,7:50-53[4]周润景,图雅,张丽敏。
基于QuartusII 的FPGA/CPLD 数字系统设计实例[M]北京:电子工业出版社,2007作者简介:王宝忠(1956-),男,汉族,江苏太仓人,副教授,主要研究方向:嵌入式系统应用;王歆(1982-),男,汉族,江苏苏州人,江苏科技大学硕士研究生,研究方向:嵌入式系统应用.(下转第281页)214--邮局订阅号:82-946360元/年技术创新汽车电子《PLC 技术应用200例》您的论文得到两院院士关注图4XSLT 模板与相应的QF 代码左边是我们设计的部分模板,右边是经过转换得到的QF 代码。