新实验指导书
- 格式:docx
- 大小:455.23 KB
- 文档页数:17
实验一 自由沉淀实验一、实验目的(1)掌握颗粒自由沉淀实验的方法;(2)进一步了解和掌握自由沉淀规律,根据试验结果绘制自由沉淀曲线。
去除率~沉速曲线(η~u 曲线)。
二、实验原理浓度较稀的、粒状颗粒的沉淀属于自由沉淀。
自由沉淀的特点是:静沉过程中颗粒互不干扰、等速下沉,其沉速在层流区符合Stokes 公式。
悬浮物去除率的累积曲线计算:⎰+-=0000)1(P sdP u u P η 其中: η —— 总去除率P 0 、P —— 未被去除颗粒的百分比 u s 、u 0 —— 沉淀速度 实验用沉淀柱进行,如右图。
初始时,沉淀时间为0,悬浮物浓度为C 0,去除率η=0。
设水深为H (实验时为水面到取样口的垂直距离),在t i 时间能沉到H 深度的最小颗粒d i 的沉速可表示为:ii t Hu =。
实际上,沉淀时间ti 内,由水中沉至柱底的颗粒是由两部分颗粒组成,即沉速i s u u ≥的那一部分颗粒能全部沉至柱底,同时,颗粒沉速i s u u <的颗粒也有一部分能沉到柱底,这部分颗粒虽然粒径很小,沉速i s u u <,但这部分颗粒并不全在水面,而是均匀分布在整个柱内,因此,只要在水面以下,它们下沉至池底所用的时间小于或等于具有沉速ui 的颗粒由水面降至池底所用的时间ti ,则这部分颗粒也能从水中被除去。
在 t i 时间,取样点处实验水样的悬浮物浓度为C i ,沉速i s u u ≥(i d d ≥)的颗粒的去除率:000011i i i C C C P C C η-==-=-,其中,0C CP i i =表示未被去除的颗粒所占的百分比。
绘制 P ~u i 关系曲线,可知121212000C C C C P P P C C C -∆=-=-=,P ∆是当选择的颗粒沉速由u 1降至u 2,即颗粒粒径有d 1减到d 2时,此时水中所能多去除的,粒径在d 1~d 2间的那部分颗粒的百分比。
当P ∆无限小时,dP 代表了小于d 1的某一粒径d 占全部颗粒的百分比。
《遥感原理与方法》实验指导实习一地物光谱反射率的野外测定1、原理与方法电磁波谱中,可见光和近红外波段(0.3~2.5μm)是地表反射的主要波段,多数传感器使用这一区间,其地物光谱的测试有三方面的作用:①传感器波段选择、验证、评价的依据;②建立地面、航空和航天遥感数据的关系;③将地物光谱数据直接与地物特征进行相关分析并建立应用模型。
(1)地物反射波谱测量理论①双向反射分布函数(BRDF)②双向反射比因子R(BRF)(2)地物光谱的测量方法垂直测量:为使所有数据能与航空、航天传感器所获得的数据进行比较,一般情况下测量仪器均用垂直向下测量的方法,以便与多数传感器采集数据的方向一致。
由于实地情况非常复杂,测量时常将周围环境的变化忽略,认为实际目标与标准板的测量值之比就是反射率之比。
计算式为:式中,ρ(λ)为被测物体的反射率;ρ5(λ)为标准板的放射率;V(λ)和V5(λ)分别为测量物体和标准板的仪器测量值。
这种测量没有考虑入射角度变化时造成的反射辐射值的变化,也就是对实际地物在一定程度上取近似朗伯体,可见测量值也有—定的适用范围。
2、实习仪器实习使用合肥仪思特光电技术有限公司生产的ISI921VF系列野外地物光谱辐射计。
ISI921VF野外地物光谱辐射计仪器参数3实习目的(1)学习地物光谱的测定方法;(2)认识地物光谱反射率的规律;(3)学习绘制地物反射光谱曲线。
4实习步骤(1)野外实测操作步骤:●第一步开机连接好测量头部与主机,打开测量头部镜头盖。
打开主机面板上的电源开关,仪器即进入开机状态,如果仪器自检正常,LCD显示屏将显示主菜单。
如果蓄电池电量不足,在显示主菜单之前将显示“Charge”,表示仪器应当进行充电后再使用。
电池电压不足将可能导致LCD显示屏无显示。
为确保数据的准确率,建议开机后预热3分钟以上进行正式测量。
●第二步设定参数〖Setup 〗仪器参数设置子菜单有10项设置内容,包括:起始光谱曲线号、增益、CCD积分时间、内部时钟(包括年、月、日、时、分、秒)。
一、运动控制系统实验项目一览表实验室名称:电机拖动实验室课程名称:运动控制系统适用专业:电气工程及自动化、自动化实验总学时:16设课方式:课程实验(“课程实验”或“独立设课”二选一)是否为网络实验:否(“是”或“否”二选一)实验一晶闸管直流调速系统主要单元调试一.实验目的1.熟悉直流调速系统主要单元部件的工作原理及调速系统对其提出的要求。
2.掌握直流调速系统主要单元部件的调试步骤和方法。
二.实验内容2.电平检测器的调试3.反号器的调试4.逻辑控制器的调试三.实验设备及仪器1.教学实验台主控制屏。
2.NMCL—31A组件3.NMCL—18组件4.双踪示波器5.万用表四.实验方法1.速度调节器(ASR)的调试按图1-5接线,DZS(零速封锁器)的扭子开关扳向“解除”。
注意:正常使用时应“封锁”,以防停机时突然启动。
(1)调整输出正、负限幅值“5”、“6”端接可调电容,使ASR调节器为PI调节器,加入一定的输入电压(由NMCL—31的给定提供,以下同),调整正、负限幅电位器RP1、RP2,使输出正负值等于 5V。
(2)测定输入输出特性将反馈网络中的电容短接(“5”、“6”端短接),使ASR调节器为P调节器,向调节器输入端逐渐加入正负电压,测出相应的输出电压,直至输出限幅值,并画出曲线。
(3)观察PI特性拆除“5”、“6”端短接线接入5~7uf电容,(必须按下选择开关,绝不能开路),突加给定电压,用慢扫描示波器观察输出电压的变化规律,改变调节器的放大倍数及反馈电容,观察输出电压的变化。
反馈电容由外接电容箱改变数值。
2.电流调节器(ACR)的调试按图1-5接线。
(1)调整输出正,负限幅值“9”、“10”端接可调电容,使调节器为PI调节器,加入一定的输入电压,调整正,负限幅电位器,使输出正负最大值大于 6V。
(2)测定输入输出特性将反馈网络中的电容短接(“9”、“10”端短接),使调节器为P调节器,向调节器输入端逐渐加入正负电压,测出相应的输出电压,直至输出限幅值,并画出曲线。
灼热丝实验作业指导书一、实验目的通过进行灼热丝实验,探究灼热丝的耐热性能,理解灼热丝在实际应用中的重要性。
二、实验原理灼热丝是一种常见的实验材料,其主要成分为耐热金属材料,具有耐高温、导电良好的特性。
实验中,我们将灼热丝放置在不同温度的环境中,观察其表现出的各种现象,通过实验结果分析和总结,可以评估灼热丝的耐热性。
三、实验器材1. 灼热丝:使用标准的耐热金属灼热丝,长度约为20厘米。
2. 烧杯:用于装载灼热丝和加热水等。
3. 温度计:用于测量实验环境的温度。
4. 加热器:用于提供实验环境的热源。
5. 实验记录表:记录实验过程中的详细数据和观察结果。
四、实验步骤1. 准备工作:a. 将实验器材准备齐全,并检查其完好性。
b. 清洗烧杯并确保其干燥。
c. 标定温度计,确保其准确度。
2. 实验设置:a. 将烧杯放置在加热器中,并加入一定量的水。
b. 将温度计插入烧杯中,确保其与水接触。
c. 将灼热丝固定在实验环境中,确保其处于稳定状态。
3. 实验操作:a. 打开加热器,使水温逐渐升高。
b. 每隔10℃,记录一次灼热丝的变化。
c. 观察灼热丝的颜色、形状以及可能出现的其他变化。
d. 根据实验观察结果,判断灼热丝的耐热性。
4. 实验数据记录:a. 记录每次温度变化时的灼热丝观察结果。
b. 记录实验过程中的其他重要数据和观察结果。
5. 实验结果分析:a. 分析灼热丝在不同温度下的表现和可能的原因。
b. 利用实验数据和观察结果,评估灼热丝的耐热性能。
6. 结论和讨论:a. 根据实验结果,总结灼热丝在实际应用中的重要性。
b. 讨论实验中可能存在的误差和改进方法。
c. 提出进一步研究该实验的建议。
五、注意事项1. 实验过程中,要注意操作的安全性,避免烫伤或烧伤。
2. 当加热水温度超过50℃时,注意防止水溅出。
3. 在实验中,要仔细观察灼热丝的变化,并记录详细的数据和观察结果。
4. 实验结束后,清洗和归还实验器材,并妥善保管实验记录表。
实验项目指导书一、实验项目简介实验项目指导书是用来引导学生进行科学实验的一份重要文件。
它主要包括实验目的、实验原理、实验步骤、实验要求等内容。
本指导书旨在帮助学生正确地进行实验,达到预期的实验目标。
二、实验目的本实验旨在帮助学生掌握实验设计与实验操作技巧,培养学生的实验观察能力和科学思维,同时加深对课堂知识的理解与应用。
三、实验原理在这一部分,我们将详细介绍实验所涉及的原理和基本概念。
学生需要通过学习和理解实验原理,为实验的操作和结果分析提供基础。
四、实验材料与设备接下来,我们将列出实验所需的材料和设备清单。
请学生在进行实验前确认所有物品齐全,并保证实验的顺利进行。
五、实验步骤本节将提供实验的详细步骤说明,包括涉及到的操作方法、操作顺序以及注意事项等。
学生需要按照指导书的步骤进行操作,确保实验的准确性和有效性。
六、实验要求与注意事项在这一部分,我们将列出实验所需遵守的要求和需要注意的事项。
学生需要仔细阅读并理解这些要求和注意事项,确保实验过程中的安全和准确性。
七、实验结果与分析在完成实验后,学生需要对实验结果进行记录和分析。
他们应该能够根据实验的数据和观察结果得出结论,并能够解释实验结果的科学原理。
八、实验总结最后,学生需要对整个实验过程进行总结。
他们应该能够回顾实验的目的、原理和操作步骤,并对实验结果进行评估和思考,提出改进实验的意见和建议。
九、参考文献在这一部分,我们将列出所参考的文献和资料。
学生可以根据自己的需要,进一步阅读这些文献,扩展知识和深入理解实验原理。
希望以上指导书能够帮助学生正确进行实验,获得预期的实验结果,并通过实验的过程培养实验设计和科学思维能力。
通过这个实验项目,学生将能够更深入地理解课堂知识,并培养他们对科学实验的兴趣和探索精神。
化学合成实验作业指导书实验室名称:化学合成实验室实验项目:化学合成实验作业指导书一、实验目的本实验旨在通过化学合成方法,合成目标物质并验证其结构及性质。
二、实验原理化学合成是一种通过不同的化学反应途径,将原料经过一系列的步骤转化为目标物质的方法。
其中,化学反应的选择、反应条件的控制以及产物的纯化过程都是实验成功的关键。
三、实验步骤及操作指导1. 实验前准备:a) 检查实验材料及设备是否齐全,并按照实验要求准备好所需试剂。
b) 查阅文献,了解所需目标物质的化学反应路线、反应条件及操作注意事项。
c) 穿戴实验室必要的安全设备,如实验服、手套、护目镜等。
2. 实验操作:a) 按照所选合成路线,将原料按照一定比例称取准备好,并放置在干净的容器中。
b) 根据反应条件,选择合适的反应容器,将原料逐步加入,并控制反应温度、反应时间等因素。
c) 反应结束后,根据反应产物的特性选择合适的纯化方法,如结晶、蒸馏、萃取等,将产物纯化。
d) 对产物进行结构鉴定,如红外光谱分析、核磁共振分析等,并与理论值进行对比。
e) 记录实验过程中的各个关键步骤,如原料加入量、反应条件、实验结果等。
四、安全注意事项1. 实验操作需在实验室内进行,严禁饮食、吸烟等。
2. 操作过程中要佩戴护目镜、实验服和手套等防护装备。
3. 使用化学试剂时,要注意避免与皮肤直接接触,如有接触应立即用大量水冲洗并及时就医。
4. 严禁将有机溶剂直接倒入下水道或排水口,要根据实验室规定进行处理。
五、实验结果及讨论根据实验步骤所述操作,完成化学合成实验后,对产物进行结构鉴定,并与理论值进行对比。
若产物的结构和理论值相符,说明实验成功并找到了适用的合成路线。
若有偏差,则需要对反应条件进行调整或尝试其他合成方法。
六、实验总结通过本次化学合成实验,我们掌握了化学合成的基本原理和操作技巧。
实验过程中我们注意了安全事项,并成功合成了目标物质。
通过实验,我们对合成路线的选择、反应条件的控制以及产物纯化过程有了更深入的了解,并对实验结果进行了结构鉴定。
本文部分内容来自网络整理,本司不为其真实性负责,如有异议或侵权请及时联系,本司将立即删除!== 本文为word格式,下载后可方便编辑和修改! ==实验作业指导书篇一:试验室作业指导书(新)共76页第一部分:规章制度一、自觉遵守劳动纪律和各项规章制度。
试验室管理制度二、试验工作按照国家标准及交通部标准进行。
三、试验人员必须经过培训,并取得上岗证,方能单独上岗。
四、各室人员应团结互助,并服从试验室负责人的统一安排。
五、爱护仪器、设备,保持器皿的完好精确。
六、保持试验场地的整洁,试验每告一段落,必须清理场地。
七、定期对仪器设备进行保养,检查。
八、化验试剂、有毒物品、易燃物品、放射性试验仪器应存放在安全的地方,并由专人负责保管。
九、未经计量部门认可的及超期限的仪器具不得使用。
十、在试验操作过程中,应集中注意力,不允许吸烟,应禁止无关人员随意靠近机器,以免发生意外。
十一、个人对分管区域所有仪器位臵、性能、状态应了如指掌。
十二、每天下班前,应做到关紧门窗,关好水、电、煤气开关。
对需要昼夜运行的机器,应检查其运行状态及保险装臵。
特殊情况应留有专人值班。
十三、由于操作不当而造成事故的,应当由当事人作出书面检查,写明事故原因,并引以为戒。
十四、试验人员应清正廉洁,实事求是,严禁修改伪造试验数据。
十五、严格执行<<质量管理手册>>所规定的管理制度,每年检查一次,修正完整。
十六、仪器设备的零星部件要妥善保管,常用的工具应排列整齐,说明书,操作手册和原始记录要有专柜保管。
十七、试验室是进行检测、检测工作的场所,必须保持清洁、安静、整齐。
十八、各室根据具体情况,结合本管理制度制定相应的工作制度。
沥青室工作制度一、每日上班应对本室的仪器设备、水、电等进行检查,如有异常应立即采取措施。
二、试验人员应了解各种设备的性能,并做好仪器设备的使用记录。
三、试验人员在操作前应熟悉各项试验的操作步骤及注意事项,做到有条不紊。
自动控制理论实验指导书实验1 典型环节的模拟研究一、实验目的1.了解并掌握TD -ACC+设备的使用方法及各典型环节模拟电路的构成方法。
2.熟悉各种典型环节的理想阶跃响应曲线和实际阶跃响应曲线。
3.了解参数变化对典型环节动态特性的影响。
二、实验设备TD -ACC+型实验系统一套;数字示波器、万用表。
三、实验内容及步骤1.实验准备:将信号源单元的“ST ”插针与“S ”端插针用“短路块”短接。
将开关设在“方波”档,分别调节调幅和调频电位器,使得“OUT ”端输出的方波幅值为2V ,周期为10s 左右。
2.观测各典型环节对阶跃信号的实际响应曲线 (1) 比例( P )环节① 按模拟电路图1-1接好线路。
注意:图中运算放大器的正相输入端已经对地接了100K 的电阻,实验中不需要再接。
以后的实验中用到的运放也如此。
② 将模拟电路输入 (U i ) 端与信号源的输出端“OUT ”相连接;用示波器观测模拟电路的输入 (U i ) 端和输出 (U o ) 端,观测实际响应曲线U o (t ),记录实验波形及结果于表1-1中。
表1-1阶跃响应: U O (t )=K (t ≥0) 其中 K =R 1R 0⁄实验参数理论计算示波器观测值输入输出波形0R 1Ro 1i 0U R U R =i U o Uo iU U Ωk 200Ωk 1000.5Ωk 2001R 0=200kΩ;R 1=100kΩ或200kΩ图1-1U i R 0R 1RR 10K 10K U o(2) 积分( I )环节①按图1-2接好线路。
② 将模拟电路输入 (U i ) 端与信号源的输出端“OUT ”相连接;用示波器观测模拟电路的输入 (U i ) 端和输出 (U o ) 端,观测实际响应曲线U o (t ),测量积分时间T ,记录实验波形及结果于表1-2中。
表1-2阶跃响应: o 01()U t t R C=(t ≥0) 注意:积分时间T 是指积分初始时间到输出值等于输入值时的时间。
生物信息学实验指导书重庆邮电大学生物信息学实验指导书生物信息教学部谭军编重庆邮电大学生物信息学院前言生物信息学是上世纪90年代初人类基因组计划(HGP)依赖,随着基因组学、蛋白组学等新兴学科的建立,逐渐发展起来的生物学、数学和计算机信息科学的一门交叉应用学科。
目前生物信息学的研究领域主要包括基于生物序列数据的整理和注释、生物信息挖掘工具开发及利用这些工具揭示生物学基础理论知识等领域。
生物信息学作为新型交叉应用学科,可以依托本校已有的计算机科学、信息学、生物学和数学等学科优势,充分展现投入少、见效快、起点高的特色,推动学校学科建设和本科教学水平。
本实验指导书中的8个实验均设计为综合性开发实验,面向生物信息学院全体本科学生和研究生,以及全校对生物信息学感兴趣的其他专业学生开放。
生物信息学实验室将提供系统的保障,包括采用mail服务器和linux帐号管理等进行实验过程管理和支持。
限选《生物信息学及实验》的生物技术专业本科生至少选择其中5个实验,并不少于8个学时,即为课程要求的0.5个学分。
其他选修者按照课时和学校相关规定计算创新学分。
实验一熟悉生物信息学网站及其数据的生物学意义实验目的:培养学生利用互联网资源获取生物信息学研究前沿和相关数据的能力,熟悉生物信息学相关的一些重要国内外网站,及其核酸序列、蛋白质序列及代谢途径等功能相关数据库,学会下载生物相关的信息数据,了解不同的数据文件格式和其中重要的生物学意义。
实验原理:利用互联网资源检索相关的国内外生物信息学相关网站,如:NCBI、SANGER、TIGR、KEGG、SWISSPORT、Ensemble、中科院北京基因组研究所、北大生物信息学中心等,下载其中相关的数据,如fasta、genbank格式的核算和蛋白质序列、pathway等数据,理解其重要的生物学意义。
实验内容:1.浏览和搜索至少10个国外和至少5个国内生物信息学相关网站,并描述网站特征;2.下载各网站的代表性数据各10条(组)以上,并说明其生物学意义;3.讨论各网站适合做何种生物信息学研究的平台,并设计一个研究设想。
材料工程基础实验指导书1. 实验目的本实验旨在通过实际操作加深学生对材料工程基础知识的理解,培养学生的实验技能和分析问题的能力。
具体目标包括:•熟悉常用材料工程实验仪器的使用方法;•掌握材料的取样、制备和测试方法;•学会对实验数据进行处理、分析和结果判断。
2. 实验仪器和材料•金相显微镜•电子显微镜•扫描电子显微镜•金属材料样品•试样切割机•研磨机•电解腐蚀仪3. 实验步骤3.1 样品制备1.使用试样切割机根据需要制备样品,并在样品上进行标记。
2.使用研磨机对样品进行粗磨,直到表面光洁。
3.使用细研磨纸进行细磨,直到样品表面无瑕疵。
4.清洗样品,确保表面无污染物。
5.在电解腐蚀仪中对样品进行电解腐蚀处理,以去除样品表面的氧化物和污染物。
3.2 金相显微镜观察1.将样品放置在金相显微镜上,并调整焦距和光源亮度,使样品清晰可见。
2.使用目镜和物镜对样品进行观察,并记录所观察到的结构特征。
3.3 电子显微镜观察1.将样品放置在电子显微镜上,并调整电子束亮度和对比度,使样品清晰可见。
2.使用电子显微镜观察样品,并记录所观察到的微观结构特征。
3.4 扫描电子显微镜观察1.将样品放置在扫描电子显微镜上,并调整电子束亮度和扫描速度,使样品清晰可见。
2.使用扫描电子显微镜观察样品,并记录所观察到的表面形貌特征。
4. 数据处理与分析在实验过程中,需记录实验数据并进行处理与分析。
数据处理主要包括:•实验数据的整理与分类;•对观察到的结构特征和形貌特征进行描述;•运用相关理论知识对观察结果进行解释和分析。
5. 实验结果实验结果应包括实验数据记录、结构特征描述和形貌特征描述。
针对实验结果,可进一步进行数据图表绘制、实验结果分析和相关结论总结。
6. 实验注意事项1.在操作实验仪器时要遵循相应的操作规范,严格遵守安全操作规程。
2.在样品制备过程中,应保持样品的完整性和纯净性,确保实验结果的准确性和可靠性。
3.在观察样品时,应注意调整仪器参数,保证样品清晰可见。
实验1:基本逻辑门电路功能测试(采用分立元件)一、实验目的1:掌握各种门电路的逻辑功能及测试方法。
2:学习用与非门组成其它逻辑门电路。
二、实验用的仪器、仪表TEC —5实验箱 74LS00二输入四与非门 三态门74LS125三、实验原理与非门的逻辑功能是:当输入端中有一个或一个以上低电平时,输出端为高电平。
只有当输入端全为高电平时,输出端才为低电平(即有“0”得“1”,全“1”出“0”)。
三态输出门是一种特殊的门电路。
它与普通的逻辑门电路不同,它的输出状态除了高、低电平两种状态(均为低阻状态)外,还用第三种状态,即高阻态。
处于高阻态时,电路与负载之间相当于开路。
三态门主要用途之一是实现总线传输。
三态输出门符号与功能表如下(此例以高有效的使能器件为例)。
四、实验内容1:测试二输入与非门的逻辑功能与非门的输入端接逻辑开关电平,输出端接发光二极管。
按表1-2所示测试与非门,并将测试结果填入表中。
B A F •=A B2:学习用二输入与非门构成其他逻辑电路的方法,并测试。
● 与门逻辑功能实现:根据布尔代数的理论,B A B A F •=•=,所以用2个与非门即可实现与门逻辑功能。
输入A 、B 接逻辑开关,输出端接发光二极管。
参考表1-1,设计表格,并将测试结果填入表中。
● 或门逻辑功能实现:根据布尔代数的理论,B A B A F +=•=,所以用3个与非门即可实现或门逻辑功能。
输入A 、B 接逻辑开关,输出端接发光二极管。
参考表1-1,设计表格,并将测试结果填入表中。
● 异或门逻辑功能实现:根据布尔代数的理论,B A B A F +=,根跟据此异或逻辑表达式经过变换,逻辑图如下,请自行验证此逻辑图的正确性,同时思考如果直接据逻辑表达式画逻辑图,效果如何,近而体会变换的作用。
输入A 、B 接逻辑开关,输出端接发光二极管。
参考表1-1,设计表格,并将测试结果填入表中。
3:测试三态门的逻辑功能 三态门输入端、使能端分别接逻辑开关,输出端接发光二极管。
将测试结果填入表1-3中。
表1-3ABAB五、实验报告记录、整理实验结果,并用布尔代数的理论进行分析实验提示:1、K15—K0为普通的电平开关,为输入端提供高低电平信号,拨上去为1,拨下去为0。
2.12个发光二极管位于实验箱的下部中间位置,用于指示信号的高低电平,信号输入孔L0—L11接入高电平时,相应的二极管点亮,信号输入孔L0—L11接入低电平时,相应的二极管熄灭。
实验用芯片内部逻辑图实验的基本步骤本实验指导书的所有实验基于EDA实验台进行。
采用软件为Quartus II ,硬件芯片为ALTERA 的Cyclone II 系列FPGA芯片EP2C8Q208C8。
使用本EDA实验台进行数字逻辑实验,不需要进行手工接线。
实验工作分3步进行:1:在PC机上,基于Quartus II软件进行原理图(逻辑图)的设计,设计完成后,需要经过引脚锁定、编译下载到EDA实验台上的FPGA芯片中。
下载完成后,即在FPGA 芯片中形成物理的逻辑电路。
此步工作相当于传统实验的基于物理器件的接线操作。
2:在PC机上仿真,验证逻辑的正确性3:下载到板子上,进行物理验证(需要安装USB Blaster线缆驱动,具体安装方法自行上网查找)。
此过程可以用万用表、LED指示灯、七段码等验证实验的正确性。
实验的注意事项1:Quartus II的工程名和顶层实体名字必须为英文,且实体名字必须和VHDL代码的实体名字严格一致。
存储路径最好不要含中文和空格。
2:Quartus II的设计中所有的命名中,名字不要有空格。
3:Quartus II的原理图方式设计中放置“input”“ouput”引脚符号时,引脚符号的虚线框和原件的虚线框要刚好对上,以保证连接上,虚线框分开和部分重叠都不能正确连接。
4:所用到的时钟信号必须锁定到28脚,具体原理参考“实验用到的资源和原理”部分。
实验报告格式和内容书写实验报告,语言要简练,书写端正、作图正规。
按照如下格式和内容书写。
注意:试验5为综合性实验,其格式和实验1到4不同,同时其需要有封面并装订成册。
一般实验(实验1――4)项目名称一、实验目的及要求二、实验仪器设备三、实验内容、结果四、实验总结包括实验中遇到的问题,如何解决遇到的问题;实验后的认识和感悟等。
综合性实验(实验5)项目名称一、实验内容二、实验目的及要求三、实验仪器设备四、实验结果五、实验总结实验用到的资源和原理需要的资源:1:逻辑开关2:发光二极管指示灯3:20M时钟数字逻辑实验需要用到的输入为逻辑0、1,由逻辑开关提供,实验板提供了5个逻辑开关,为KEY_OK,KEY_UP,KEY_DOWN,KEY_LEFT,KEY_RIGHT,和FPGA的连接关系如下表1-1。
输出的逻辑0、1接到发光二极管,实验台提供了4个发光二极管。
实验平台同时提供七段码,具体见表1-1中。
从表中可以看出,具体原理如下图0所示(只列出了两个逻辑开关和两个发光二极管,其余同理)。
通过拨动逻辑开关实现逻辑0、1的输入,输出的逻辑0、1通过发光二极管指示,1亮0灭。
时序电路的实验要用到时钟,由28脚的提供,原理如图0所示。
图0七段码部分原理如下图,其为共阳极数码管,采用动态扫描方法实现6位数码管的同时显示。
实验2:译码器及其应用一、实验目的1:理解VHDL语言的设计流程。
2:掌握译码器的逻辑功能及应用。
二、实验用的仪器、仪表EDA实验板(台)万用表PC机三、实验原理译码器是一个多输入、多输出的组合逻辑电路。
它的作用是把给定的代码进行“翻译”,变成相应的状态。
译码器在数字系统中有广泛的用途,如代码变换、数据分配、存储器寻址、组合控制信号等。
本实验以74138为主要实验对象,图2-1为74138的逻辑图和管脚排列图。
其中A2,A1,A0为地址输入端,Y0~Y7为译码输出端,E1,E2,E3为使能端。
表2-1为74138的功能表,当E1=1,E2+E3=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其余输出端均为无信号(全为1)输出。
当E1=0和E2+E3=0两个条件不能同时满足时,译码器被禁止。
所用的输出同时为1。
图2-1 74138的逻辑图和管脚排列图表2-1四、实验内容1:根据功能表,用VHDL语言设计74138,具体步骤如下(可参考实验视频“38译码器实验流程.mp4”):1)建立一个工作目录,用quartusII-File-New project wizard方式以新建目录为工作目录建立一个采用VHDL file作为输入的工程,在完成基于VHDL语言实现138设计和仿真验证之后,打开File—Creat Update—Creat Symbol Files forCurrent File,从而创建一个自己设计的138 。
参考VHDL代码如下:library ieee;use ieee.std_logic_1164.all;--use ieee.numeric_std.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY decoder3to8 ISPORT (A2,A1,A0,E3,E2,E1 : IN STD_LOGIC;Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END ENTITY decoder3to8 ;ARCHITECTURE BHV OF decoder3to8 ISSIGNAL A: STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINA <= A2 & A1 & A0;PROCESS ( A )BEGINIF((E1 = '1')and (E2 = '0')and(E3 = '0')) thenCASE (CONV_INTEGER(A)) ISWHEN 0 => Y <= "11111110";WHEN 1 => Y <= "11111101";WHEN 2 => Y <= "11111011";WHEN 3 => Y <= "11110111";WHEN 4 => Y <= "11101111";WHEN 5 => Y <= "11011111";WHEN 6 => Y <= "10111111";WHEN 7 => Y <= "01111111";WHEN OTHERS =>Y <= "11111111";END CASE;elseY <= "11111111";END IF;END PROCESS;END ARCHITECTURE BHV;2)重新建立一个目录,把步骤1中的工程文件目录打开,找到对应的*.bsf 核*.vhd,将此两个文件拷贝到当前目录。
关闭前一个工程,以当前新建立的目录为工作目录,新建一个工程,具体方式如同步骤1。
工程建立完毕,首先选择File->New,选择Block Diagram/Schematic File,按OK。
出现如下窗口,用鼠标展开Project(如下图标识所示),选中其中的我们设计的138。
用鼠标展开此处2:用自己设计的74138,用原理图方式验证74138逻辑功能,视频中有详细步骤。
将E1,E2,E3和地址输入端A2、A1、A0和开关相接。
五个输出端接到LED指示灯。
拨动逻辑开关,按表2-2测试功能,并记录测试结果。
表2-23:重复上述验证138逻辑功能的实验步骤,用74138实现逻辑函数。
即使用已经设计的138器件,用原理图方式实现如下逻辑函数,其中7420位四输入与非门,可以向放input和output 引脚一样,直接输入7420即可找到,直接引用已有的器件,也可以自行设计一个四输入与非门(步骤同设计138一样)。
ABC+=,实现该逻辑函数电路如图2-3,将测试结果记录在表2-3 +Z+CCABABCAB中。
图2-32-34、实验板(箱)端的工作“74138逻辑功能的测”和“用74138实现逻辑函数”部分工作主要完成对逻辑电路功能的测试,采用对输入逻辑开关的切换,实现不同的“0”“1”输入,从而输出端输出不同的逻辑电平,使指示灯亮或灭。
完成实验内容中各个表的填入,完成实验工作。
五、实验报告1:将测试结果填入相应的表格1)提供VHDL语言源代码2)验证填写表2-2 2-32:对实验结果进行分析讨论总结写出实验结论。