当前位置:文档之家› 数字逻辑电路第3章复习题

数字逻辑电路第3章复习题

一、分析题:

1、试分析如下面图(a )和图(B)所示逻辑电路,说明分别是什么逻辑功能。(10分)

.

2、(10分)、试分析下图所示逻辑电路。

2

B L =1

1

A

C

&

L &

=1

&

3-1、(12分)、分析下图电路的逻辑功能。要求写出逻辑函数表达式,画出真值表,说明电路的逻辑功能。

3-2(8分)、由译码器74138和8选1数据选择器74151组成如下图所示的逻辑电路。X 2X 1X 0及Z 2Z 1Z 0为两个三位二进制数,试分析下图电路的逻辑功能。(74138是3-8线译码器,74151是8选1数据选择器)。

A

1

&

B

1

&

&

L

≥1

≥1

≥1

≥1

L

A

B

(a)

(b)

5Y 6

D 074138D 13

401

A Y 7G 0Y 13

D A 5

2D Y D A 6G D 1Y Y Y D 27

420

1Y

2B

D 74151G 2A

1A A 0

2A Y

1X 0

2X X 10

Z Z Z 2

4、(6分)由译码器74138和门电路组成的电路如下图所示,试写出L 1、L 2的最简表达式。

5、

6、(12分)、下图所示的TTL 门电路中,要求实现下列规定的逻辑功能时,其连接有无错误?说明正确或错误的理由。如有错误请改正。

CD AB L ⋅=1 AB L =2 C AB L +=3

+V &

R P

C D

&L CC

B A 1

B

&

A =1

V CC

L 2

&L 3

C

B A ≥1

(a ) (b) (c)

7、(12分)、在下图(a )(b )(c )中,所有的门电路都为TTL 门,设输入A 、B 、C 的波形如图(d )所示,试分析电路的逻辑关系,写出表达式,并定量画出各输出的波形图。

B

A =1

V CC

L 1

≥1

A 2

L B

C &

≥1

EN

&△

B C

1

G L 3

A &

A

B

C

(a)

(b)(c)

(d)

8、(8分)、分析下图所示电路,求输入S 1、S 0各种取值下的输出Y ,填入下表中。

9、分析下图的逻辑功能。(10分)

10、(9分)为了实现下列图中输出所表示的逻辑,判断下列图连接是否正确。要求说明正确和错误的原因。若有错,请改正。

11-1、求下列各题的最简与或表达式。(12分)

1. 2、

11-2、试对应输入波形画出下图中 Y

1~Y

6

的波形。(本题共6小题,共24分)

12、试对应输入波形画出下图中Y1~Y5的波形。(设触发器初态为零)(本题共5个图,每图4分,共20分)

Y

13、分析图示电路的逻辑功能。要求写出逻辑式,列出真值表,然后说明逻辑功能。 ( 8分)

Y1

Y2

14-1、某编码器的真值表如下,试分析其工作情况: ( 6 分)

(1) 是?/?线编码器?

(2) 编码信号高电平还是低电平有效?

(3) 编码信号K0 ~ K7间有何约束条件?

(4) 当K5 信号请求编码时,Y2 Y1 Y0 = ?

(设未列出的输入组合不能出现)

Y2 Y1 Y0

14-2、求下图中Y 的最简与或式。 ( 8 分)

15-1、16-1、17-1(15分)写出下图电路中Y1、Y2的逻辑函数式,并化简为最简单的与-或表达式。译码器74LS138的输出函数表达式为0120A A A Y =、0121A A A Y =、…、0127A A A Y =。

15-2、17-2(15分)分析下图电路的功能,写出E 和F 的表达式,说明该电路实现什么样的逻辑功能。并根据下面给定的输入波形画出输出波形。

0Y 1Y 2Y 3Y 4Y 5Y 6Y 7

Y 0A 1A 2

A 1S 2S 3

S &

&

1

Y 2

Y A B C 1

74LS138

A B E F

F

E

A B

16、写出下图所示逻辑图的逻辑式,并用与非门作出其最简形式的逻辑图。(11分)

18、分析如图由3线-8线译码器74LS138构成的电路。 (1)写出输出S i 和C i 的逻辑函数表达式; (2)画出真值表;

(3)说明该电路的逻辑功能。(15分)

74LS138的逻辑功能表

19、如图所示电路中,G1、G0为控制

端;A 、B 为输入端。分析该电路的逻辑功能,写出G1、G0 4种取值下函数F 的表达式。 (13分)

输 入

输 出

STA C B ST ST A 2 A 1 A 0 0Y 1Y 2Y 3Y 4Y 5Y 6Y 7Y 0

× × × × 1 1 1 1 1 1 1 1 × 1 × × × 1 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 0 1 1 1 1 0 1 0 1 1 1 1 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 1 1

1 1 1

1 1 1 1 1 1 1 0

A 1 A 0 A 2

74LS138

Y1 Y0 Y2 Y4 Y3 Y5 Y6 Y7

C i-1 B i A i S i C i

& &

1

STA STC STB

20、(15分)

分析图(4)所示电路:其中S3,S2,S1,S0作为控制信号,A ,B 作为数据输入。 (1)写出输出Y 的逻辑表达式;

(2)列表说明在S3,S2,S1,S0的对应状态分别为1011,1100,1110,1111的情况下Y 与A ,B 的关系。 二、设计题:

1、请用3-8线译码器译码器和少量门器件实现逻

()()∑=7630,,,,,m A B C F (11

分)

2(5分)、试用8选1数据选择器74151

实现下列逻辑函数:

∑=

=)7,5,4,1,0(),,(C B A F L

3(15分)、某工厂有A 、B 、C 三个车间,各需电力10KW ,由厂变电所的X ,Y 两台变压器供电。其中X 变压器的功率为13KVA (千伏安),Y 变压器的功率为25KVA 。为合理供电,需设计一个送电控制电路。控制电路的输出接继电器线圈。送电时线圈通电。不送电时线圈不通电。线圈动作电压12V ,线圈电阻300欧。要求画出送电控制逻辑关系真值表,写出并化简逻辑关系表达式,用门电路画出送电控制逻辑图。

4、(12分)、某实验室用两个灯显示三台设备的故障情况,当一台设备有故障时黄灯亮;当两台设备同

A

B

.

.

.

.

图(4)

时有故障时红灯亮;当三台设备同时有故障时黄、红两灯都亮。设计该逻辑电路。 5、(12分)、试用4选1数据选择器分别实现下列逻辑函数:

(1)==),(1B A F L ∑m (0,1,3) (2)==),,(2C B A F L ∑m (0,1,5,7) (3)BC AB L +=3 (4))(4C B A C B A L ++=

6、

7、(10分)、三变量奇校验电路的功能为:当输入奇数个“1”时,输出为1,否则输出为0。试列出其

真值表,写出简化逻辑式,并用异或门实现之。

8、(14分)74LS138(3/8译码器)一般符号如下图,用74LS138实现一位全加器。设:A i ---加数;B i ---被加数;C i-1---低位的进位; S i---本位和;C i ---进位。

9、(10分)、试用8选1数据选择器74151和门电路设计一个四位二进制码奇偶校验器。要求当输入的四位二进制码中有奇数个1时,输出为1,否则为0。

10、试用与非门设计一个组合逻辑电路,它接收一位8421BCD 码B 3、B 2、B 1、B 0,仅当2<B 3B 2B 1B 0<7时,

输出Y 才为1。

11、三变量奇校验电路的功能为:当输入奇数个“1”时,输出为1,否则输出为0。试列出其真值表,写出简化逻辑式,并用异或门实现之。(11分)

12-1、某工厂有一台容量为35KW 的自备电源,为A 、B 、C 三台用电设备供电,设A 、B 、C 设备的额

定功率分别为10KW 、20KW 、30KW ,它们投入运行是随机的组合。试分别用下面两种方案设计一个电源过载报警电路。 ( 15分) (1) 用最简于非门电路实现之。 (2) 用数据选择器 CT74LS151 实现之。

D 1 D 2 D 4 D 5 D 0 D 6 D 7

D 3 A 0

A 1 A 2 CT74LS151

ST

12-2、已知逻辑函数BC C A AB Y ++=,用真值表和卡诺图表示之,并用或非门 实现之。(10分)

13、试用8选1数据选择器实现 函数Y = A ⊕B ⊕C 。 (12分)

14-1、试采用两种方案设计一个三位多数表决电路 ( 无弃权 ) 。

(1) 用最少与非门;(2) 用数据选择器。 ( 14 分) 14-2、已知 CT74LS00 的引脚图如下,试在图中作适当连接,以实现函数B C A Y +=。(10 分)

15、(15分)某仓库的一把电子锁,三把钥匙分别由主任、出纳和保管员保存。开锁时,主任必须到场。出纳、保管员至少有一个人到场。试画出开锁的逻辑电路图,并要求用与非门实现。设出纳、保管员为A 、B ,主任为C ;到场为1,不到场为0;开锁为1,不开锁为0。

16、设计一个四舍五入的判别电路,其输入为8421BCD 码。要求当输入大于或等于5时,输出为1,反之为0 。试用与门、或门实现。(15分)

17、同15题

18、设计一个A 、B 、C 三人表决电路,以表决某一提案Y 是否通过,如多数赞成,则提案通过,同时 A 有否决权。

① 根据题设,设计一个组合逻辑电路,要求列出真值表,写出函数Y 的标准与-或式并化简; ② 请用最少的反相器和与非门实现该逻辑电路,画出逻辑图。 (15分)

数字逻辑与数字系统设计第2-3章客观题

()1、数字电路又称为开关电路、逻辑电路。 答案:正确 ()2、二极管、三极管、场效应管是常用的开关元件。 答案:正确 ()3、最基本的逻辑关系是:与、或、非。 答案:正确 ()4、高电平用0表示,低电平用1表示,称为正逻辑。 答案:错误 ()5、TTL型门电路比CMS型门电路开关速度快。 答案:正确 ()6、逻辑表达式是逻辑函数常用的表示方法。 答案:正确 ()7、用真值表表示逻辑函数,缺乏直观性。 答案:错误 ()8、逻辑图是最接近实际的电路图。 答案:正确 ()9、由真值表得到的逻辑函数一般都要经过化简。 答案:正确 ()10、组合电路的特点是:任意时刻的输出与电路的原状态有关。答案:错误 ()11、1+A=1 答案:正确 ()12、AB+A=A

()13、将实际问题转换成逻辑问题第一步是要先写出逻辑函数表达式。 答案:错误 14、异或函数与同或函数在逻辑上互为反函数。(对) 每个最小项都是各变量相“与”构成的,即n个变量的最小项含有n个因子。(对) 15、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。(错) 16、逻辑函数F=A B+A B+B C+B C已是最简与或表达式。(错) 17、利用约束项化简时,将全部约束项都画入卡诺图,可得到函数的最简形式。(错) 18、卡诺图中为1的方格均表示逻辑函数的一个最小项。(对) 19、在逻辑运算中,“与”逻辑的符号级别最高。(错) 20、标准与或式和最简与或式的概念相同。(对) 21、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(对) 22、格雷码具有任何相邻码只有一位码元不同的特性。(对) 23、所有的集成逻辑门,其输入端子均为两个或两个以上。(错) 24、根据逻辑功能可知,异或门的反是同或门。(对) 25、逻辑门电路是数字逻辑电路中的最基本单元。(对) 26、TTL和CMOS两种集成电路与非门,其闲置输入端都可以悬空处理。(错) 27、74LS系列产品是TTL集成电路的主流,应用最为广泛。(对) 28、TTL与非门的多余输入端可以接固定高电平。(对) 二、选择题 1、指出下列各式中哪个是四变量A、B、C、D的最小项() A、ABC; B、A+B+C+D; C、ABCD; D、A+B+D

第三章《数字逻辑》(第二版)习题答案

第三章 1.根据所采用的半导体器件不同,集成电路可分为哪两大类?各 自的主要优缺点是什么? 解答 双极型集成电路:采用双极型半导体器件作为元件.主要特点是速度快、 负载能力强,但功耗较大、集成度较低。 单极型集成电路:指MOS集成电路,采用金属-氧化物半导体场效应管 (Metel Oxide Semi- conductor Field Effect Transister,简写为MOSFET)作为元件.MOS型集成电 路的特点是结构简单、制造方便、集成度高、功耗低, 但速度较慢。 2.简述晶体二极管的静态特性? 解答 “正向导通(相当于开关闭合),反向截止(相当于开关断开)”,硅管正向压降约0.7伏,锗管正向压降约0.3伏。 3.晶体二极管的开关速度主要取决于什么? 解答 晶体二极管的开关速度主要取决于反向恢复时间(二极管从正向导通到反向截止所需要的时间)和 开通时间(二极管从反向截止到正向导通所需要的时间)。相比之下,开通时间很短,一般可以忽略不计。因此,影响二极管开关速度的主要因素是反向恢复时间。 4.数字电路中,晶体三极管一般工作在什么状态? 解答 数字电路中,晶体三极管一般工作在“截止状态”(相当于开关断开)

和“饱和导通状态”(相当于开关闭合)。 5.晶体三极管的开关速度取决于哪些因素? 解答 晶体三极管的开关速度主要取决于开通时间t on(三极管从截止状态到饱和状态所需要的时间)和关闭时间t off (三极管从饱和状态到截止状态所需要的时间),它们是影响电路工作速度的主要因素。 6. TTL与非门有哪些主要性能参数? 解答 TTL与非门的主要外部特性参数有输出逻辑电平、开门电平、关门电平、扇入系数、扇出系数、平均传输时延、输入短路电流和空载功耗等8项。 7.OC门和TS门的结构与一般TTL与非门有何不同?各有何主要应用? 解答 OC门:该电路在结构上把一般TTL与非门电路中的T3、D4去掉,令T4的集电极悬空,从而把一般TTL与非门电路的推拉式输出级改为三极管集电极开路输出。OC门可以用来实现“线与”逻辑、电平转换以及直接驱动发光二极管、干簧继电器等。 TS门: 该电路是在一般与非门的基础上,附加使能控制端EN和控制电路构成的。在EN有效时为正常 工作状态,在EN无效时输出端被悬空,即处于高阻状态。TS门主要应用于 数据与总线的连接,以实现总线传送控制,它既可用于单向数据传送,也可用于双向数据传送。 8.有两个相同型号的TTL与非门,对它们进行测试的结果如下:

数字逻辑第三章

第三章门电路 1 : 下列哪个逻辑门可以双向传输数据 A:OD门 B:三态门 C:传输门 D:OC门 您选择的答案: 正确答案:C 知识点:传输门可以双向传输数据 ---------------------------------------------------------------------------- 2 : 下列哪个逻辑门可以输出并联使用 A:卤门 B:三态门 C:传输门 D:OC门 您选择的答案: 正确答案:D 知识点:OC门输出并联使用形成“线与”关系 ---------------------------------------------------------------------------- 3 : 下列哪个逻辑门有三种状态 A:OD门 B:三态门 C:传输门 D:OC门 您选择的答案: 正确答案:B 知识点:三态门有高电平、低电平、高阻态三种状态 ---------------------------------------------------------------------------- 4 : 下列哪个逻辑门可以实现吸收大负载电流功能 A:卤门 B:三态门 C:传输门 D:OD门 您选择的答案: 正确答案:D 知识点:OD门输出并联使用形成“线与”关系,可以实现吸收大负载电流功能---------------------------------------------------------------------------- 5 : VIH表示什么含义 A:输出低电平

B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:B 知识点:I即input,表示输入;H即high,表示高电平 ---------------------------------------------------------------------------- 6 : VIL表示什么含义 A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:D 知识点:I即input,表示输入;L即low,表示低电平 ---------------------------------------------------------------------------- 7 : VOH表示什么含义 A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:C 知识点:O即output,表示输出;H即high,表示高电平 ---------------------------------------------------------------------------- 8 : VOL表示什么含义 A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:A 知识点:O即output,表示输出;L即low,表示低电平 ---------------------------------------------------------------------------- 9 : TTL反向器输入悬空时输出是什么状态 A:低电平 B:高电平 C:高阻态 D:不确定

数字逻辑第三章课后答案

3-1 首先进行逻辑抽象。题目中输入为10个十进制数据,设为I 0到I 9。输出为四位的8421码,设为Y 0到Y 3。由此得系统框图为: 接着进行逻辑分析。假设输入数据中,I 9的优先权最高,I 0最低。则真值表为: 根据真值表,得逻辑函数表达式为: 899893I I I I I Y +=?+= 9 849859869879876549876598769872I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I Y ??+??+??+??=?????+????+???+??= 9 854329854398698798765432987654398769871I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I Y ?????+????+??+??=???????+??????+???+??= 8 6421864386587998765432198765439876598790I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I Y ????+???+??+?+=????????+??????+????+??+= 最后,采用数据流描述方法,根据逻辑函数表达式,得VHDL 描述的程序: 实体: library IEEE;

use IEEE.std_logic_1164.all; entity encoder8421 is port( I: in std_logic_vector(0 to 9); Y: out std_logic_vector(3 downto 0) ); end encoder8421; 结构体: architecture encoder8421a of encoder8421 is signal tmp1,tmp2,tmp3: std_logic; begin Y(3)<=I(8) or I(9); tmp1<=(not I(8)) and (not I(9)); Y(2)<=(I(7) or I(6) or I(5) or I(4)) and tmp1; tmp2<=(not I(4)) and (not I(5)); Y(1)<=( I(7) or I(6) or (I(3) and tmp2) or (I(2) and (not I(3)) and tmp2)) and tmp1; tmp3<=(not I(8)) and (not I(6)); Y(0)<=I(9) or (I(7) and (not I(8))) or (I(5) and tmp3) or (I(3) and (not I(4)) and tmp3) or (I(1) and (not I(2)) and (not I(4)) and tmp3); end encoder8421a; 3-3 首先进行逻辑抽象。题目中输入为一组4位的二进制数,设为A3 A2A1A0。4位二进制代码从0000~1111共16个码字,因此,输出是输入对应的16个信号,用Y15~Y0表示。由此得系统框图为: A A 15 0 接着进行逻辑分析。当译码器的输入是0000时,Y0有效,输入是0001时,Y1有效。依次类推,得到对应的真值表:

《数字逻辑与电路》复习题及答案

《数字逻辑与电路》复习题 第一章数字逻辑基础(数制与编码) 一、选择题 1.以下代码中为无权码的为CD。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为AB 。 A.8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是CD 。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为ABCD 。 A. (0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.与八进制数(47.3)8等值的数为:A B。 A.(100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 8.常用的B C D码有C D。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。(√) 2. 8421码1001比0001大。(×) 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√) 4.格雷码具有任何相邻码只有一位码元不同的特性。(√) 5.八进制数(17)8比十进制数(17)10小。(√) 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。(√) 7.十进制数(9)10比十六进制数(9)16小。(×) 8.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。(√) 三、填空题

数字逻辑电路复习题

数字逻辑电路复习题 1、数制与编码 (-21)10 =( )10补 (78.8)16=( )10 (0.375)10=( )2 (65634.21)8=( )16 (121.02)16=( )4 (49)10 =( )2=( )16 (-1011)2 =( )反码=( )补码 四位二进制数1111的典型二进制格林码为( ) 2、化简逻辑函数F (A 、B 、C 、D )=∏M (0、2、5、7、8、10、1 3、15)。 3、说明同步时序逻辑电路的分析步骤。 4、说明什么是组合逻辑电路。 5、说明什么是Moore 型时序逻辑电路。 6、完成下列代码之间的转换: (1)(0101 1011 1101 0111.0111)8421BCD =( )10; (2)(359.25)10=( )余3; (3)(1010001110010101)余3=( )8421BCD 。 7、试写出下列二进制数的典型Gray 码: 101010,10111011。 8、用逻辑代数公理和定理证明: ①C B A ⊕⊕=A ⊙B ⊙C ②)B A (⊕⊙B A AB = ③C AB C B A C B A ABC A ++=? ④C A C B B A C A C B B A ++=++ ⑤1B A B A B A AB =+++ 9、将下列函数转化成为最小项表达式和最大项表达式 ①F (A 、B 、C 、D )=)D C )(C B A )(B A )(C B A (++++++ ②F (A 、B 、C )=C A C B A BC A C AB +++ ③F (A 、B 、C 、D )=)B AC )(C B (D D BC ++++ ④F (A 、B 、C 、D )=ABCD D C B A D B A B C +++ 10、利用卡诺图化简逻辑函数F (A 、B 、C 、D )=4 m (10,11,12,13,14,15)∑ 11、将下列函数简化,并用“与非”门和“或非”门实现该电路并判断有无竞争冒险现象,并

数字逻辑复习题

数字逻辑复习 第一章 开关理论 考点:1.进制的转换(选择填空) 2.逻辑函数的化简 3.卡若图化简 4. 用与非门进行逻辑设计 课后试题 用布尔代数化简下列各逻辑函数表达式 9.将下列函数展开为最小项表达式 (1) F(A,B,C) = Σ(1,4,5,6,7) (2) F(A ,B,C,D) = Σ(4,5,6,7,9,12,14) 10.用卡诺图化简下列各式 (1)C AB C B BC A AC F +++= 化简得F=C (2)C B A D A B A D C AB CD B A F ++++=

F=D A B A + (3) F(A,B,C,D)=∑m(0,1,2,5,6,7,8,9,13,14) 化简得F=D BC D C A BC A C B D C ++++ (4) F(A,B,C,D)=∑ m(0,13,14,15)+∑?(1,2,3,9,10,11) 化简得F=AC AD B A ++ 11.利用与非门实现下列函数,并画出逻辑图。 F=))((D C B A ++=))((D C B A

A B C D 参考试题: 1、C A BC C A AB C B A F ++++=),,(1 (用代数法化简) 1 )1(1=+++=+++=++++=B C C A C B C A A C BC C A B A F 2、∑∑+=m d D C B A F )5,2,0()14,13,12,10,9,8,6,4(),,,(2(用卡诺图法化简) 3、用公式法化简逻辑函数:Y =A'BC +(A+B')C 答:Y =A'BC +(A+B')C =(A'B )C +(A'B )' C =C 4.什么叫组合逻辑电路中的竞争-冒险现象?消除竞争-冒险现象的常用方法有哪些? 答:由于竞争而在电路输出端可能产生尖峰脉冲的现象叫竞争-冒险现象。 消除竞争-冒险现象的常用方法有:接入滤波电容,引入选通脉冲,修改逻辑设计。 5、用卡诺图化简下列逻辑函数 ∑=)15,14,13,12,10,9,8,2,1,0(),,,(m D C B A F C A D F +=2

数字逻辑电路--第三章作业

单选题 1(5分)、 逻辑函数y=A⊙B,当A=0,B=1时,y= 。 ?A、 ?B、 1 ?C、 不确定 ?D、 2 参考答案: A 2(5分)、 四变量逻辑函数Y(ABCD)的最小项m8为( ) ?A、 ABCD' ?B、 A'BCD' ?C、 AB'C'D' ?D、 ABCD 参考答案: C 3(5分)、 “或非”门中的某一输入值为“0”,那么它的输出值是 ?A、 为“0” ?B、 要取决于其它输入端的值 ?C、 为“1” ?D、 取决于正逻辑还是负逻辑 参考答案: B 4(5分)、 十六进制数FF对应的十进制数是 ?A、

253 ?B、 254 ?C、 255 ?D、 256 参考答案: C 5(5分)、 格雷码的特点是相邻两个码组之间有位码元不同。 ?A、 4 ?B、 3 ?C、 2 ?D、 1 参考答案: D 6(5分)、 与十进制数12.5等值的二进制数为: ?A、 1100.10 ?B、 1011.11 ?C、 1100.11 ?D、 1100.01 参考答案: A 7(5分)、 逻辑函数L=AB+CD的真值表中,L=1的状态有多少个? ?A、 2 ?B、 4 ?C、 6

?D、 7 参考答案: D 8(5分)、 -3的四位原码为: ?A、 1111 ?B、 1010 ?C、 1011 ?D、 1101 参考答案: C 9(5分)、 下列各种门电路中,电路输出端可以并联在一起 形成“线与”。 ?A、 具有推拉式输出级的TTL门电路; ?B、 TTL电路的OC门; ?C、 TTL电路的三态输出门; ?D、 CMOS门电路; 参考答案: B 10(5分)、 二进制数111011.101转换为十进制数为: ?A、 58.625 ?B、 57.625 ?C、 59.625 ?D、 60.125 参考答案: C

数字逻辑电路第3章复习题

一、分析题: 1、试分析如下面图(a )和图(B)所示逻辑电路,说明分别是什么逻辑功能。(10分) . 2、(10分)、试分析下图所示逻辑电路。 2 B L =1 1 A C & L & =1 & 3-1、(12分)、分析下图电路的逻辑功能。要求写出逻辑函数表达式,画出真值表,说明电路的逻辑功能。 3-2(8分)、由译码器74138和8选1数据选择器74151组成如下图所示的逻辑电路。X 2X 1X 0及Z 2Z 1Z 0为两个三位二进制数,试分析下图电路的逻辑功能。(74138是3-8线译码器,74151是8选1数据选择器)。 A 1 & B 1 & & L ≥1 ≥1 ≥1 ≥1 L A B (a) (b)

5Y 6 D 074138D 13 401 A Y 7G 0Y 13 D A 5 2D Y D A 6G D 1Y Y Y D 27 420 1Y 2B D 74151G 2A 1A A 0 2A Y 1X 0 2X X 10 Z Z Z 2 4、(6分)由译码器74138和门电路组成的电路如下图所示,试写出L 1、L 2的最简表达式。 5、 6、(12分)、下图所示的TTL 门电路中,要求实现下列规定的逻辑功能时,其连接有无错误?说明正确或错误的理由。如有错误请改正。 CD AB L ⋅=1 AB L =2 C AB L +=3 +V & R P C D &L CC B A 1 B & A =1 V CC L 2 &L 3 C B A ≥1 (a ) (b) (c) 7、(12分)、在下图(a )(b )(c )中,所有的门电路都为TTL 门,设输入A 、B 、C 的波形如图(d )所示,试分析电路的逻辑关系,写出表达式,并定量画出各输出的波形图。

数字逻辑设计习题册

数字逻辑设计习题册 哈尔滨工业大学(威海)计算机学院体系结构教研室

第2章 逻辑代数基础 一、填空 1.摩根定理表示为:=?B A _____;=+B A ______。 2. 函数表达式D C AB Y ++=,则其对偶式为='Y ________。 3.根据反演规则,若C D C B A Y +++=,则=Y ________。 4.函数式CD BC AB F ++=写成最小项之和的形式结果为 ()∑m ,写成最大项之积的形式结果为 )( ∏M 。 二、 证明 1.证明公式()()A BC A B A C +=++成立。 2.证明此公式B A B A A +=+成立。 3.证明此公式)()()()()(C A B A C B C A B A +?+=+?+?+成立。 三、 用代数法化简下列各式 1.B A BC A F +=1 2.D C A ABD CD B A F ++=2 3.CD D AC ABC C A F +++=3 4.)()(4C B A C B A C B A F ++?++?++= 5.C DE C BE CD B B A AC F ++++=5

6.C B A AD C B A CD AB F ++++=6 7.D BC A BD A BD CD B B A C A F +++++=7 四、用卡诺图化简下列各式 1.C B A AB C B F ++=1 2.C B BC B A F ++=2 3.C B C B C A C A F +++=3 4.D C A C B A D C D C A ABD ABC F +++++=4 5.D B A AC C B A F ++=5 6.C B A AD C B A D C AB F ++++=6 7.D BC A BD A BD CD B B A C A F +++++=7 8.D B D B C A C A F +++=8 9.D C B A D AC D C B D C A F +++⊕=)(9 10.∑ =m C B A P )7,6,5,2,1,0(),,(1 11.∑ = m D C B A P )14,11,10,9,8,7,6,4,3,2,1,0(),,,(2

数字逻辑电路设计第二版答案

数字逻辑电路设计第二版答案 【篇一:蒋立平版数字逻辑电路与系统设计习题答案】1.1 将下列二进制数转换为等值的十进制数。(1)(11011)2(2(10010111)2 (3)(1101101)2 (4 (11111111)2 (5)(0.1001)2 (6 (0.0111)2 (7) (11.001)2 (8 (101011.11001)2 题1.1 解:(1)(11011)2 =(27)10 (10010111)2 =(151)10 (3)(1101101)2 =(109)10 (11111111)2 =(255)10(5)(0.1001)2 =(0.5625)10 (0.0111)2 =(0.4375)10(7)(11.001)2 =(3.125)10(101011.11001)2 = (43.78125)10 1.3 数。(1)(1010111)2 (110111011)2 (3)(10110.011010)2 (4)(101100.110011)2 题1.3 解:(1)(1010111)2 =(57)16 =(127)8 (2)(110011010)2 =(19a)16 =(632)8 (3) (10110.111010)2 =(16.e8)16 =((4)(101100.01100001)2 =(2c.61)16 =1.5 将下列十进制数表示为8421bcd码。 (1)(43)10(95.12)10 (3)(67.58)10 ((932.1)10 题1.5 解: (1)(43)10 =(01000011)8421bcd (2)(95.12)10 =(10010101.00010010)8421bcd (3)(67.58)10 =(01100111.01011000)8421bcd (4)(932.1) 10 =(1.7 将下列有符号的十进制数表示成补二进制数。 (1) +13 (2)?9(3)+3 (4)?题1.7解: (1) +13 =(01101)2 ((10111)2 (3) +3 =(00011)2 ((11000)2 1.9 用真值表证明下列各式相等。 (1) ?b?b?a?b (2) a (1) 证 明 ab?c?a?bc

数字逻辑课后答案 第三章

第三章 时序逻辑 1.写出触发器的次态方程,并根据已给波形画出输出 Q 的波形。 解: 2. 说明由RS 触发器组成的防抖动电路的工作原理,画出对应输入输出波形 解: 3. 已知JK 信号如图,请画出负边沿JK 触发器的输出波形(设触发器的初态为0) 4. 写出下图所示个触发器次态方程,指出CP 脉冲到来时,触发器置“1”的条件。 解:(1) ,若使触发器置“1”,则A 、B 取值相异。 (2),若使触发器置“1”,则A 、B 、C 、D 取值为奇数个1。 5.写出各触发器的次态方程,并按所给的CP 信号,画出各触发器的输出波形(设初态为0) 解: 6. 7. 1 )(1 =+++=+c b a Q a c b Q n n B A B A D +=D C B A K J ⊕⊕⊕==Q A Q B Q D Q C Q E Q F Q G Q H

2 8. 作出状态转移表和状态图,确定其输出序列。 解:求得状态方程如下 故输出序列为:00011 9. 用D 触发器构成按循环码(000→001→011→111→101→100→000)规律工作的六进制同步计数器 解:先列出真值表,然后求得激励方程 PS NS 输出 N 0 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 1 1 1 0 1 1 1 1 0 1 0 1 0 1 1 0 0 0 1 0 0 0 0 0 1 化简得: 逻辑电路图如下: 10. 用D 触发器设计3位二进制加法计数器,并画出波形图。 n Q 2n Q 1n Q 012+n Q 11+n Q 10+n Q

3 11. 用下图所示的电路结构构成五路脉冲分配器,试分别用简与非门电路及74LS138集成译码器构成这个译码器,并画出连线图。 解:先写出激励方程,然后求得状态方程 得真值表 得状态图 若用与非门实现,译码器输出端的逻辑函数为: 若用译码器74LS138实现,译码器输出端的逻辑函数为: 12 若将下图接成12进制加法器,预置值应为多少?画出状态图及输出波形图。 解:预置值应C=0,B =1,A =1。 13. 分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明它是Mealy 型电路还是Moore 型电路以及电路的功能。 解: 电路的状态方程和输出方程为: 该电路是Moore 型电路。 当X=0时,电路为模4加法计数器; 当X=1时,电路为模4减法计数器 14. 分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明这个电路能对何种 序列进行检测? 解:电路的状态方程和输出方程为:由此可见,凡输入序列 “110”,输出就为“1” 。 15. 作“101”序列信号检测器的状态表,凡收到输入序列101时,输出为 1 ;并规定检测的101序列不重叠。 解: 根据题意分析,输入为二进制序列x ,输出为Z ;且电路应具有3个状态: S0、S1、S2。列状态图和状态表如下: X =1 X =0 NS / Z PS

数字逻辑与系统设计习题(1-3)

第1章习题 一.单选题: 1.以下代码中为恒权码的是( )。 A )余3循环码 B )5211码 C )余3码 D )右移码 2.一位八进制数可以用( )位二进制数来表示。 A )1 B )2 C )3 D )4 3.十进制数43用8421BCD 码表示为( ) A )10011 B )0100 0011 C )1000011 D )10011 4.A + BC =( ) A )A B + A C B )ABC C )(A +B)(A + C) D )BC 5.在函数L(A,B,C,D) = AB + CD 的真值表中,L=1的状态有( ) A )2个 B )4个 C )6个 D )7个 6.已知两输入逻辑变量AB 和输出结果Y 的真值表如下表,则AB 的逻辑关系为( ) A )同或 B )异或 C )与非 D )或非 7.利用约束项化简逻辑函数时,约束项应看成( ) A )1 B )2 C )能使圈组大的看成1,其它看成0 D )无所谓 8.当逻辑函数有 n 个变量时,共有( )组变量取值组合 A )n B )2n C )n 2 D )2n 9.利用卡诺图化简逻辑函数时,8个相邻的最小项可消去( )个变量。 A )1 B )2 C )3 D )4 10.下面的卡诺图化简,应画( )个包围圈。 A )2 B )3 C )4 D )5 11.卡诺图中,变量的取值按( )规律排列。 A )Ascii 码 B )8421BCD 码 C )余3码 D )循环码 12.4变量逻辑函数的真值表,表中的输入变量的取值应有( )种。 A )2 B )4 C )8 D )16 13.TTL 逻辑电路是以( )为基础的集成电路 A )三极管 B )二极管 C )场效应管 D )晶闸管 14.CMOS 逻辑电路是以( )为基础的集成电路 A )三极管 B )NMOS 管 C )PMOS 管 D )NMOS 管和PMOS 管 二.判断题: 1.十进制数(64.5)10与(40.8)16等值。( ) 2.在任一输入为1的情况下,"或非"运算的结果是逻辑0。 ( ) A B Y 0 0 0 0 1 1 1 0 1 1 1 0

数字电子技术练习题第3章习题及答案

第3章习题 一、填空题 1.逻辑电路中,电平接近0时称为电平,电平接近V CC或V DD时称为电平。 2.数字电路中最基本的逻辑门有、和门。常用的复合逻辑门有门、门、门、门和门。 3.图腾结构的TTL集成电路中,多发射极三极管可完成逻辑功能。 4.CMOS反相器是两个型的MOS管组成,且其中一个是管,另外一个是 管,由于两管特性对称,所以称为互补对称CMOS反相器。 5.TTL与非门输出高电平U OH的典型值是V,低电平U OL的典型值是V。 6.普通的TTL与非门具有结构,输出只有和两种状态;TTL三态与非门除了具有态和态,还有第三种状态态,三态门可以实现结构。 7.集电极开路的TTL与非门又称为门,几个门的输出可以并接在一起,实现功能。 8.TTL集成电路和CMOS集成电路相比较,其中集成电路的带负载能力较强,而集成电路的抗干扰能力较强。 9.用三态门构成总线连接时,依靠端的控制作用,可以实现总线的共享而不至于引起。 10. TTL集成与门多余的输入端可;TTL集成或门多余的输入端可。 二、判断下列说法的正误 1.所有的集成逻辑门,其输入端子均为两个或两个以上。() 2.根据逻辑功能可知,异或门的反是同或门。() 3.具有图腾结构的TTL与非门可以实现“线与”逻辑功能。() 4.基本逻辑门电路是数字逻辑电路中的基本单元。() 5.TTL和CMOS两种集成电路与非门,其闲置输入端都可以悬空处理。() 6.74LS系列产品是TTL集成电路的主流产品,应用最广泛。() 7.74LS系列集成电路属于TTL型,CC4000系列集成电路属于CMOS型。() 8.与门多余的输出端可与有用端并联或接低电平。() 9.OC门不仅能够实现“总线”结构,还可构成与或非逻辑。() 10.一个四输入与非门,使其输出为0的输入变量取值组合有7个。() 三、单项选择题 1.具有“有1出0、全0出1”功能的逻辑门是()。 A、与非门 B、或非门 C、异或门 D、同或门

数字逻辑电路复习题与答案

_、单选题 1、十进制整数转换为二进制数一般采用()。 A.除2取整法 B.除10取余法 C.除2取余法 D.除10取整法 正确答案:C 2、将十进制小数转换为二进制数一般采用()。 A.乘2取整法 B.乘10取余法 C.乘2取余法 D.乘10取整法 正确答案:A 3、十进制数"13",用三进制表示为()。 A.211 B.111 C.112 D.101 正确答案:B 4、将十进制数18转换成八进制是(). A.20 B.24 C.22 D.21 正确答案:C

5、十进制数25用8421 BCD码表示为()

A.10 010100 B.0010 0101 C.10 101 D.10 000101 正确答案:B 6、以下代码中为恒权码的是()。 A.余3循坏码 B右移码 C.5211 码 D.余3码 正确答案:C 7、T立八进制数可以用()位二进制数来表示。 A.4 B.3 C.1 D.2 正确答案:B &十进制数43用8421BCD码表示为()。 A.10011 B.0100 0011 C.101011 D.1000011 正确答案:B 9、A+BC=() A.AB+AC B.BC C・(A+B)(A+C)

正确答案:C 10、4变量逻辑函数的真值表,表中的输入变量的取值应有()种。 A.4 B.2 C.16 D.8 正确答案:C 11、f 16选1的数据选择器,其选择控制(地址)输入端有()个,数据输入端有16个,输出端有1个。 A.4 B.16 C.1 D.2 正确答案:A 12、一个译码器若有100个译码输出端,则译码输入端至少有()个。 A.5 B.8 C.7 D.6 正确答案:C 13、能实现并-串转换的是()。 A.数据选择器 B.数据分配器 C.译码器 D.数值比较器正确答案:A 14、欲设计一个3位无符号数乘法器(即3x3),需要6位输入及()位输出信号。

数字逻辑及电路复习题及答案

"数字逻辑与电路"复习题 第一章数字逻辑根底〔数制与编码〕 一、选择题 1.以下代码中为无权码的为 CD。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为 AB 。 A.8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为 B 。 A. 10 101 B. 0010 0101 C. 100101 D. 10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是 CD 。 A.〔256〕10 B.〔127〕10 C.〔FF〕16 D.〔255〕10 6.与十进制数〔53.5〕10等值的数或代码为 ABCD 。 A.(01010011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.与八进制数(47.3)8等值的数为:A B 。 A.(100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 8.常用的BC D码有C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 二、判断题〔正确打√,错误的打×〕 1. 方波的占空比为0.5。〔√〕 2. 8421码1001比0001大。〔×〕 3. 数字电路中用"1〞和"0〞分别表示两种状态,二者无大小之分。〔√〕 4.格雷码具有任何相邻码只有一位码元不同的特性。〔√〕 5.八进制数〔17〕8比十进制数〔17〕10小。〔√〕 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。〔√〕 7.十进制数〔9〕10比十六进制数〔9〕16小。〔×〕 8.当8421奇校验码在传送十进制数〔8〕10时,在校验位上出现了1时,说明在传送过程中出现了错误。〔√〕 三、填空题

数字逻辑电路习题集

第一章 数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为_____________。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、16 6、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、、) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数Y= 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数Y= 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。 (√)

数字逻辑电路与系统设计习题答案

第1章习题及解答 1.1 将下列二进制数转换为等值的十进制数。 (1)(11011)2 (2)(10010111)2 (3)(1101101)2 (4)(11111111)2 (5)(0.1001)2(6)(0.0111)2 (7)(11.001)2(8)(101011.11001)2 题1.1 解: (1)(11011)2 =(27)10 (2)(10010111)2 =(151)10 (3)(1101101)2 =(109)10 (4)(11111111)2 =(255)10(5)(0.1001)2 =(0.5625)10(6)(0.0111)2 =(0.4375)10(7)(11.001)2=(3.125)10(8)(101011.11001) 2 =(43.78125)10 1.3 将下列二进制数转换为等值的十六进制数和八进制数。 (1)(1010111)2 (2)(110111011)2 (3)(10110.011010)2 (4)(101100.110011)2 题1.3 解: (1)(1010111)2 =(57)16 =(127)8 (2)(110011010)2 =(19A)16 =(632)8 (3)(10110.111010)2 =(16.E8)16 =(26.72)8 (4)(101100.01100001)2 =(2C.61)16 =(54.302)8 1.5 将下列十进制数表示为8421BCD码。 (1)(43)10 (2)(95.12)10 (3)(67.58)10 (4)(932.1)10 题1.5 解: (1)(43)10 =(01000011)8421BCD (2)(95.12)10 =(10010101.00010010)8421BCD (3)(67.58)10 =(01100111.01011000)8421BCD (4)(932.1)10 =(0.0001)8421BCD 1.7 将下列有符号的十进制数表示成补码形式的有符号二进制数。

数字逻辑各章复习题最后附参考答案

数字逻辑复习题 第一章数制和编码 一、选择题 1.以下代码中为无权码(变权代码)的是。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的是。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9. 常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。() 7.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。() 8.占空比的公式为:q = t w / T,则周期T越大占空比q越小。() 9.十进制数(9)10比十六进制数(9)16小。() 10.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。()三、填空题

相关主题
文本预览
相关文档 最新文档