当前位置:文档之家› 数字电子技术基本第三版第三章答案解析

数字电子技术基本第三版第三章答案解析

章组合逻辑电路

第一节重点与难点

一、重点:

1.组合电路的基本概念

组合电路的信号特点、电路结构特点以及逻辑功能特点。

2.组合电路的分析与设计

组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。

组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成

电路SSI,设计方法比较规范且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计

电路由门电路组成,所以使用门的数量较多,集成度低。

若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。

无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题, 的要求

即将文字描述变成一个逻辑函数表达式。

3.常用中规模集成电路的应用

常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是

理解外部引脚功能,能在电路设计时灵活应用。

4.竞争冒险现象

竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。

二、难点:

1.组合电路设计

无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,

的真值表,这一步既是重点又是难点。总结解决这一难点的方法如下:

(1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称。

(2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态

状态代表的含义由设计者自己定义。

(3)再根据设计问题的因果关系以及变量定义,列出真值表。

2.常用组合电路模块的灵活应用

同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会。

3.硬件描述语言VHDL的应用

VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。

三、考核题型与考核重点

1.概念与简答

题型1为填空、判断和选择;

题型2为叙述基本概念与特点。

建议分配的分数为3〜6分。

2.综合分析与设计

题型1为根据已知电路分析逻辑功能;

题型2为根据给定的逻辑问题,设计出满足要求的逻辑电路。

建议分配的分数为6〜12分。得到明确

0、1,这两个

第二节思考题题解

题3.1简述组合逻辑电路的分析步骤和设计步骤。

答:组合逻辑电路的分析是用逻辑函数来描述已知的电路,找出输入、输出间的关系,从而判 断电路功能。组合逻辑电路分析有以下几个步骤:首先根据逻辑电路图写出逻辑函数表达式,然后 利用代数法或图解法化简函数,列出真值表,最后根据真值表判断电路的逻辑功能。

组合逻辑电路的设计是根据实际逻辑问题,求出实现相应逻辑功能的最简单或者最合理的数字 电路的过程。逻辑电路的设计步骤如下:

选择所用门的类型,将逻辑表达式化为最简形式,或者变换 为最合理的表达式,最后画出逻辑图。

(1 )写出函数F 的表达示。

(3)若改用或非门实现,试写出相应的表达式。

思考题3.2图

首先分析设计要求,建立真值表, 题3.2组合逻辑电路如思考题

3.2图(a )所示。

(2 )将函数F 化为最简与或式, 并用与非门实现之。

*-11-^

1- 1;

1

TTT

T W

11

I TTr

F

> 1

> 1

(b)

(c)

ABD A C BCD

解:(1 )根据题图3.3 (a )已知电路,写出函数 F =ABCD BDAC

(2)将函数F 化简为最简与或表达式,并用与非门实现。

F = A B C D BDAC

A BD AC BCD AC A BD BCD

(3 )若改用或非门实现,首先写出相应的表达式。

F = A C AB AD BC C D

= ACABADBCDC

题3.3什么叫竞争-冒险现象?当门电路的两个输入端同时向相反的逻辑状态转换 变成1,另一个从1变成0)时,输出是否一定有干扰脉冲产生?

答:竞争指的是一个门电路多个输入信号同时跳变,或者一个信号经过不同路径传到同一个门 电路的输入端导致信号到达时间不同的现象。冒险指的是由于竞争可能在电路输出端产生的毛刺现 象。当门电路的两个输入端同时向相反的逻辑状态转换时,输出不一定有干扰脉冲产生。

3.4简述VHDL 的主要优点。

答:VHDL 的覆盖面广,描述能力强,是一个多层次的硬件描述语言, 的一个工业标准,是一种通用的硬件描述语言。

F 的表达式如下:

(A B C D) (B D A C ) A BD

AC ABC

BCD

ACD

根据与非表达式画出用与非门实现的电路如思考题

3.2图(b )所示。

画出F 的卡诺图,得到F 的与或式,从而求出

F 的与或非式,变换得到或非 -或非式。

函数F 的或非门电路如思考题

3.2图(C )所示。

(即一个从0

VHDL 已成为IEEE 承认

VHDL 有良好的可读性,可以被计算机接受,也容易被读者理解,

技术人员之间交换信息的文件, 也可作为合同签约者之间的文件; VHDL 的生命周期长,因为VHDL

硬件描述与工艺无关;

VHDL 支持大规模设计的分解和已有设计的再利用。

题3.5 一个VHDL 设计是否必须有一个结构体?结构体的目的是什么? 一个设计可以有多个 结构体吗? 答:VHDL 设计中必须有结构体。结构体描述实体硬件的互连关系、数据的传输和变换以及动 态行为。一个实体可以对应多个结构体,每个结构体可以代表该硬件某方面的特性。例如用一个结 构体表示某硬件的行为特性,用另一结构体表示该硬件的结构特性。

题3.6端口模式IN 和INOUT 有什么不同?

答:端口模式表示电路的数据流向。 端口模式IN 表示只能向端口写入数据, 而端口模式INOUT 表示既可以向端口写入数据,又可以从端口读出数据。

题3.7编码器的逻辑功能是什么?优先编码器与一般编码器有何区别?

答:编码器可以将一组相互独立的信号进行编码,形成一组相互关联的信号,以达到减少信号 个数、增强信号表达能力的目的。一般编码器只允许一个信号为有效,而优先编码器允许同时有多

个信号有效,但只识别优先级最高的信号。

少个输出?如果区别 64个信号有将如何?

题3.9什么叫译码器?有哪些常用译码器?各有何特点?

常用的译码器有变量译码器和数字显示译码器。

对于译码器每一组输入编码,在若干个输出中仅有一个输出端为有效电平,其余输出皆处于无

VHDL 源文件既是程序又是

题3.8要区别24个不同信号,或者说给

24个输入信号编码,需要几位二进制代码?电路有多

答:若要区别24个不同信号,至少要用 5位二进制代码,因此电路有 5个输出。

若区分64个信号至少用6位二进制代码,

因此电路有 6个输出。

答:将具有特定含义的不同的二进制代码辨别出来,

翻译成为对应输出信号的电路就是译码器。

A o A 1 A 2

0 1 2 3 4 5 6

E

7

01234567 -D _D -D _D -D-D -D -

"

0 12

01234567

E

(a) (b)

思考题3.11

译码器等。

在数字电路中,需要将数字量的代码经过译码,送到数字显示器显示。能把数字量翻译成数字 显示器能识别的译码器称为数字显示译码器,常用的有七段显示译码器。

题3.10数据选择器和数据分配器各具有什么功能?若想将一组并行输入的数据转换成串行输 出,应采用哪种电路?

答:数据选择器根据控制信号的不同,在多个输入信号中选择其中一个信号输出。数据分配器 则通过控制信号将一个输入信号分配给多个输出信号中的一个。若要将并行信号变成串行信号应采 用数据选择器。

题3.11 —个有使能端的译码器能否用作数据分配器?怎样接线可以使一个八路输出的数据分 配器连接成一个 3线-8线译码器?

器如思考题3.11图(a )所示。

BIN/OCT

效电平,这类译码器称为变量译码器。常用的有

2-4线译码器、3-8线译码器、4-10线8421BCD

答:带使能端的译码器能用作数据分配器。以

74138译码器芯片为例,将其连接成数据分配

1 EN

D

0 1 2

可以用八路输出的数据分配器连接成 3线-8线译码器,连接电路如思考题 3.11图(b )所示。

第二节习题题解

习题3.1组合电路的逻辑框图如习题

3.1图(a )所示。电路要求如下:

(1)当变量A 1A 0表示的二进制数》B 1B 0表示的二进制数时,函数 F 1=1,否则为0。

(2)当变量A 1A o 的逻辑与非(A A o )和变量B 1B o 的逻辑异或(B ’ B 。)相等时,函数 F 2为高 电平,否则为0。

试设计此组合电路。

解:(1 )根据题意确定输入变量为 A 1A 0B 1B 0,输出变量为F 1F 2,如习题3.1图(a )。

(2 )根据题目对输入、输出变量提出的要求,列写真值表如习题

输 入

(B 1

B 0)

输 出 (A 1 A 。)

A 1 A 0

B 1 B 0 F 1 F 2

0 0 0 0 1 0 0 0 0 0 0 1 1 1 0 1 0 0 1 0 1 1 0 1 0 0 1 1 1 0 0 0 0 1 0 0 1 0 1 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 0 0 0 1 0 0 0 1 0 1 0 1 0 0 1 1 1 1 1 1 0 1 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 0 0 0 0 1 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1

1

1

1

1

(3 )由真值表,作函数卡诺图如习

F 1

F o

A

1

A 0

B 1 B 0

(a)

F

00

0 H 0 H

1 ■ ’ 0

1 0 0

0 0

n 0

n

01

11 10

(b)

A

1

B 1

B 1

=1

3.1表所示。

卡诺图化简函数,得到最简与或式:

F 1

A 1

B 1

A o

B 1 B 0 A 1A 0B 0

A o

B 1 B o A o B 1 B o A 1 A o B 1 B o A 1 A o B 1 B o

习题3.2用与非门设计四变量的多数表决电路。设输出为 或3个以上为1时输出为1,输入为其它状态时输出为

解:(1)根据题意确定输入变量为 ABCD ,设输出变量 F 。

(2 )根据题目对输入、输出变量提出的要求,列写真值表如习题

变换F 2的表达式

F 2 A 1(B 1

B o ) A o (B 1 B o )

A 1(

B 1 B o ) A o (B 1 B o ) (A 1A O )

B 1 B o

A A o

B 1

B o

A

1 A

o B 1 B

o

F 2 A 1 B 1 B o A 1 B 1 B o (4)由逻辑表达式画出逻辑图如习题

3.1图(C )所示。

F ,当输入变量A 、B 、C 、D 有3个 3.2表所示。

0 0 1 0 0

0 0 1 1 0

0 1 0 0 0

0 1 0 1 0

0 1 1 0 0

0 1 1 1 1

1 0 0 0 0

1 0 0 1 0

1 0 1 0 0

1 0 1 1 1

1 1 0 0 0

1 1 0 1 1

1 1 1 0 1

1 1 1 1 1

(3 )由真值表,(作函数卡诺图如习题 3.2

A\D 00 01 11 10

B

00

习题3.2图

F=ABC+ABD +ACD+BCD

=ABC ABD ACD BCD

卡诺图化简函数,得到最简与或式,经函数变换求与非-与非式:

(4)由与非-与非表达式画出逻辑图如习题 3.2 ( b )图所

示。

习题3.3 一个组合逻辑电路有两个控制信号C1和C2,要求:

(1)C1C2=00

(2)C1C2=01

(3)C1C2=10 时,

时,

时,

AB

(a)所示

0 0 0 0

0 0 1 0

厂 1 I

(a)

试设计符合上述要求的逻辑电路(器件不限)

解:题目中要求控制信号对不同功能进行选择,故选用数据选择器实现,分析设计要求,得到 逻辑表达式:

F C 1C 2(A B ) C 1C 2(A B ) C 1C 2(A B ) C 1C 2(AB )。

4选1数据选择器的逻辑表达式:

F A 0

A 1 D o A 0

A 1D 1

A o A 1

D 2 A o A 1 D 3。

对照上述两个表达式,得出数据选择器的连接方式为:

A o =C 1, A 1 = C 2 , D o A

B , D 1 AB , D 2 A B ,

习题3.4试设计一个具有两种功能的 进制码。写出输出函数的逻辑表达式。

解:(1 )根据题意定义输入变量为

KCBA ,输出变量 F 3F 2F 1。

(2 )根据题目对输入、输出变量提出

的要求,列写真值表如习题

3.4表所示。

(4) C i C 2=11 时,F

AB 。

D 3 AB 。

根据数据选择器的连接方程,得到电路如习题

3.3图所示。

码制转换电路,并画出电路图。

K 为控制

变量。K =0时,输入C 、B 、A 为二进制

码,输出F 3F

2F 1为循环码。K =1时,输

入C 、B 、A 为循环码,输出

F 3F 2F 1为二

=1

EN MUX 1卜—

习题3.3图

C 2仝

0 0 1 1 0 1 0 0 1 0 0 1 1 0 0 1 0 1 1 1 1 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 0 1 1 0 1 0 1 1 0 0 1 1 1 1 1 0 1 1 1 0 1 1 1 0 1 0 0 1

1

1

1

1

1

经卡诺图化简后, 得到最简与或式:

(3)由真值表, 作函数卡诺图如习题

3.4

(a )所示。

F 3 F 2 CB

CB C B F 1

KCB A KCBA K BA

K B A

BA CBA (KC) B A

(4)由逻辑表达式画出逻辑图如习题 3.

4

(b )所示。

00

01

11 10

B

"1

1 1 1

J

1

1

1

J

0 0

0 0

F 3

00 01 11 10

10

00 01

11 1 0 0

0 1 0 1

1 0 1 0

n

0 1 ]

00 01

11 10

F 1

K \A 00 01 11 10

C 、 -------------------------

F 2

(a)

F 2

F 1

F 3

习题3.5试设计一个5211BCD码的判决电路。当输入代码C、B、A中有奇数个1时,电路的输出F为1,否则为0。试用与非门实现该电路,写出输出函数F的与非-与非表达式。

解:(1)根据题意确定输入变量为DCBA,输出变量为F。

(2 )根据题目对输入、输出变量提出的要求,列写真值表如习题 3.5表所

示。

习题3.5表真值表

(3 )由真值表,作函数卡诺图如习题 3.5图(a)所示。

卡诺图化简函数,得到最简与或式,变换函数得到与非-与非式:

F DB DC A D C A D CA DC BA

DBDCADC AD CADC BA

(4)由与非-与非式画出逻辑图如习题 3.5图(b )所示。

习题 3.5图

a

(b )

&

A

&

B

&

C

&

D

rm

习题3.6图

习题3.7习题3.7图(a )和(b )电路有无竞争冒险现象?若有,请说明出现冒险的输入条件,

并修改设计。画出无冒险的逻辑图。 解:(1 )分析习题 3.7图(a )所示电路,得到逻辑表达式为: F = ADDB (A C 信号A =B =1,则有F = DD ,因此电路有竞争冒险。 增加冗余项后的逻辑表达式为: F = ADDB (A C B )

AB ,修改后的逻辑图如图习题 所示。 (2 )分析习题 3.7图(b )所示电路,其表达式为: ACD =011,则有F = B B ,电路有竞争冒险。若输入信号 冒

险。 F = A BC (A D)(D B)。 BCD =010,则有 F = A A , 增加冗余项后的逻辑表达式为: F = A BC (A D)(D B ),若输入 3.7 图(C ) 若输入信号 电路有竞争 B ) ACD BCD ,修改后的逻辑图如图 习题3.7图(d )所示。

习题3.14试设计一个将8421BCD 码转换成余3码的电路。

(1 )用与非门实

现。

(2 )用或非门实

现。

设输入变量为 A 3A 2A 1A 0,输出变量为B 3B 2B 1B 0。根据设计要求,列写真值表如习题 3.14表所

示。用卡诺图化简后得到与或表达式,经过方程变换得到与非 求解过程如下:

A

3

A

2 A

O

A

2 A

A

3 A

2 A O A

2 A

(2)用或非门实现

利用卡诺图包围 0,求函数的最简或与式,再变换为或非表达式,画出用或非门实现的逻辑图

略。

(3) 用译码器74LS138实现。 (4) 用数据选择器74LS153实现。

解: (1 )用与非门实现

B 3 (A 2 A 1 A O )(A 3 A 2) A 2 A 1 A O A 3 A 2

B 2 (A 3 A 2 A 1 A O )(A 2

A O )(A 2

A 1)(A 3 A O )

B 1

(A 1

A O XA O A 1) A 1

A O A O

A 1

B O A O

A 3 A 2 A 1 A 0 A 2

A 0

A 2

A 1

A 3

A O

-与非式,用与非门实现的逻辑图略。

B 3 B 2 A 2 A 1 A 0 A 2A 0 A 2A

A 2A 1A 0 A 2A 0 A 2A 1

B l

B O A 1 A 0

A O

A 1 A 0 A 1 A 0 A 1A 0

(3 )用译码器74LS138实现

由于设计函数是四变量函数,根据译码器实现逻辑函数的基本原理,首先用两片画出逻辑图如习题图3.14 (a)所示。

(4)用数据选择器74LS153实现

函数B1和B o逻辑式为:

B1 A1A0 A1A0

B。A o 74LS138 扩

展为4-16线译码器,低有效输出。由习题3・14 表真值表列写函数的最小项表达式,附加与非门,

B3 m(5,6,7,8,9)

B2 m(1,2,3,4,9)

B i m(0,3,4,7,8)

B o m(0,2,4,6,8)

74LS153是双4选1数据选择器,分析解题(1)中的最简与或式,利用对照法, 用一片74LS153 实现函数B1和B o;再选择一片74LS153连接成8选1数据选择器,利用对照法, 确定数据选择器的连接,实现函数B3 ;参考以上求解方法,实现函数B2。

74LS153 数据选择器输出:

F1 F2 A1 A0 D10 A1A0D11

A1A0D20 A1A0D21

A1 A0D12 A1A0D13

A1 A0 D22 A1 A0 D 23

B2

E0 (a)

B1

A2 —EN MUX

A

A1

D4----

D5——EN MUX

D0 D1

利用对照法,令 D i0=1 , D ii =0 , D I 2=0 , D I 3=1 ,贝U F i = B i ;令 D 20=1 , D 23= 0 ,贝y F 2= B O 。

74LS153连接成8选1数据选择器的输出:

A 2 A 1

A 0

D 4 A 2 A 1

A O D 5 A 2 A 1 A 0

D 6 A 2 A 1 A O D 7

74LS153的扩展电路如图习题

3.14( b ) 变换函数B 3和B 2的逻辑式:

B

3

A

3

A A O

A A

1

B 2 A 2 A 1 A O A 2A 0

A 2A 1

A 2 A 1A 0

A 2A ! A O A 2A 1A O A 2A 1 A O

F = B 2。

A 3 A 2 A 1 A 0

A 3 A 2 A 1 A O

A 2 A 1 A 0

A 3A 2 A 1A 0

A 2 A 1 A 0 A 3 A 2A 1 A O

A 3 A 2 A 1 A O A 3 A 2 A 1 A O

A 2 A 1 A 0

A 2 A 1 A 0 A 2 A 1 A 0

用74LS153数据选择器实现的电路如习题图 3.14 ( C )所

示。

习题3.17分别用 4选1集成电路74153和 8选1集成电路74151

实现下列函

数。

(1) F(A,B,C)

m(1,3,4,6, 7);

F(A,B,C) m(0,2,4,5, 6, 7);

F(A,B,C,D)

m(0,1, 3, 5, 6,8, 9,11,12,13);

F(A,B,C,D)

m(0,1,3, 5,10,13,14) d(9,11,15)

解:题目给出的函数最多为 4变量函数,而4选1数据选择器适于实现 3变量以下的逻辑函数,

若需实现4变量函数,可以采用先扩展,再实现函数的方法。 8选1数据选择器适于实现 4变量以

D 2I =0 , D 22=1 ,

F A 2 A 1 A 0D 0 A 2 A 1 A 0D 1

A 2A 1 A 0D 2

A 2 A 1 A O D 3 所示。

利用对照法,令 D o = D 1= D 2= D 3= D 4= A 3, D 5= D 6= D 7=1 ,则 F = B 3。

选择另一 8选1数据选择器,利用对照法,令

D o = D 5= D 6= D 7=O ,D 1= D 2= D 3= D 4=1 ,,则

下的逻辑函

数。

4选1数据选择器74153函数式为:

F1 A1A0D10 A1 A o D 11 A1A 0 D 12 A1 A0 D13

4选1数据选择器74153扩展为8选1数据选择器以及8选1数据选择器74151函数式为:

F A2 A1 A0 D0A2A1A D D I A2A1 A0 D2A2 A1A0 D 3 A2 A1A0 D4 A2 A1 A0 D5 A2 A1 A0 D 6 A2 A1 A o D 7

T BC A B C ABC ABC

(1 )实现函数F(A, B,C) m(1, 3, 4, 6, 7) A

BC

用4选1数据选择器74153 实现设计, 对照74153 函数式以及设计函数式,令A1 = A,A0=B,

D0= D 1= C, D2 C ,D3=1,电路如习题图 3.17 (a)所

示。

用8选1数据选择器74151实现设计, 用对照法,令A2=A , A1= B, A0= C, D1= D3= D4= D6=

D7=1 ,D0= D2= D 5=0,电路如习题图3.17 (b)所示。

(2)实现函数F(A, B,C) m(0,2, 4,5, 6, 7)

C AB C AB ABC ABC ABC

AB

A o=B,D o D1 D2 = D3=1,电路如

用4选1数据选择器74153 实现设计,令A1 =

A,

习题图3.17 (c)所

示。

C

F

(a) (b) (c) (d)

MUX

0}G —

C(A 0) B(A 1) -S

< EN

A o MUX

_______ S . EN 0

D 4

D 5 u

D 6 1

D 7 3 EN 0

1 2 3

D 1

D 2 L Q D ! A(A 2) D 0

1 F

A 1

A2

(e) C(A 0)

B(A 1) MUX 0}G —

P

S 宜 EN 0

D 1 1

D 2 2 3

D 3 1

1

宜 EN 0

1

2 3 D 5 ■D ^

D 7 0 A(A 2)

1 D EF F

(g)

c 』 B A A

习题3.17图

01

2碍 0 1 2 3 4 5 6 7

(f) MUX

EN

0)

2}畔

0 1 2 3 4 5 6 7

(h)

数字电子技术基础简明教程(第三版)作业第三章作业

第三章作业 【题3.5】分别用与非门设计能够实现下列功能的组合电路。 (1)四变量表决电路——输出与多数变量的状态一致。 解:输入信号用A、B、C、D表示,输出信号用Y表示,并且用卡诺图表示有关逻辑关系。 图1 =ABC+ABD+ACD+BCD= (2)四变量不一致电路--------四个变量状态不相同时输出为1,相同时输出为0。 图2 C

实现(1)(2)的电路图如下图所示 【题3.8】设计一个组合电路,其输入是四位二进制数D=,要求能判断下列三种情况: (1)D中没有1. (2)D中有两个1. (3)D中有奇数个1. 解:表达式 (1)==+++ (2)如下图a所示。 (3)如下图b所示。

= =(+)(+)+(+)(+) =+++ 逻辑图如下图所示 【题3.10】用与非门分别设计能实现下列代码转换的组合电路: (1)将8421 BCD码转换为余3码。 (2)将8421 BCD码转换为2421码。

(3)将8421 BCD码转换为余3循环码。 (4)将余3码转换成为余3循环码。 解:=8421 BCD码=余3BCD码 =2421 BCD码 =余3循环码(1)卡诺图如下图所示 =++= =++= =+= = (2)卡诺图如下图所示 = =+=

= + = = (3) 卡诺图如下图所示 = + + + = = + + = = (4) 卡诺图如下图所示 = = + = = + = = + = 上述的逻辑电路图分别如下图1、2所示: = + + =

图1 图2 【题3.12】用集成二进制译码器74LS138和与非门构成全加器和全减器。解:(1

数字电子技术基础第三版第三章答案

第三章组合逻辑电路 第一节重点与难点 一、重点: 1.组合电路的基本概念 组合电路的信号特点、电路结构特点以及逻辑功能特点。 2.组合电路的分析与设计 组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。 组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成电路SSI,设计方法比较规范且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计电路由门电路组成,所以使用门的数量较多,集成度低。 若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。 无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题,即将文字描述的要求变成一个逻辑函数表达式。 3.常用中规模集成电路的应用 常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是理解外部引脚功能,能在电路设计时灵活应用。 4.竞争冒险现象 竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。 二、难点: 1.组合电路设计 无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,得到明确的真值表,这一步既是重点又是难点。总结解决这一难点的方法如下: (1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称。 (2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态0、1,这两个状态代表的含义由设计者自己定义。 (3)再根据设计问题的因果关系以及变量定义,列出真值表。 2.常用组合电路模块的灵活应用 同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会。 3.硬件描述语言VHDL的应用 VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。 三、考核题型与考核重点 1.概念与简答 题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为3~6分。 2.综合分析与设计

数字电子技术基础习题-答案3-4章

第3章 逻辑代数及逻辑门 【3-1】 填空 1、与模拟信号相比,数字信号的特点是它的 离散 性。一个数字信号只有两种取值分别表示为0 和1 。 2、布尔代数中有三种最基本运算: 与 、 或 和 非 ,在此基础上又派生出五种基本运算,分别为与非、或非、异或、同或和与或非。 3、与运算的法则可概述为:有“0”出 0 ,全“1”出 1;类似地或运算的法则为 有”1”出”1”,全”0”出”0” 。 4、摩根定理表示为:A B ?=A B + ;A B +=A B ?。 5、函数表达式Y=AB C D ++,则其对偶式为Y '=()A B C D +?。 6、根据反演规则,若Y=AB C D C +++,则Y =()AB C D C ++? 。 7、指出下列各式中哪些是四变量A B C D 的最小项和最大项。在最小项后的( )里填入m i ,在最大项后的( )里填入M i ,其它填×(i 为最小项或最大项的序号)。 (1) A +B +D (× ); (2) ABCD (m 7 ); (3) ABC ( × ) (4)AB (C +D ) (×); (5) A B C D +++ (M 9 ) ; (6) A+B+CD (× ); 8、函数式F=AB+BC+CD 写成最小项之和的形式结果应为m ∑(3,6,7,11,12,13,14,15), 写成最大项之积的形式结果应为 M (∏ 0,1,2,4,5,8,9,10 ) 9、对逻辑运算判断下述说法是否正确,正确者在其后( )内打对号,反之打×。 (1) 若X +Y =X +Z ,则Y=Z ;( × ) (2) 若XY=XZ ,则Y=Z ;( × ) (3) 若X ⊕Y=X ⊕Z ,则Y=Z ;(√ ) 【3-2】用代数法化简下列各式

《数字电子技术基础》课后习题及参考答案

第1章习题与参考答案 【题1-1】将下列十进制数转换为二进制数、八进制数、十六进制数。 (1)25;(2)43;(3)56;(4)78 解:(1)25=(11001)2=(31)8=(19)16 (2)43=(101011)2=(53)8=(2B)16 (3)56=(111000)2=(70)8=(38)16 (4)(1001110)2、(116)8、(4E)16 【题1-2】将下列二进制数转换为十进制数。 【题1-3】将下列十六进制数转换为十进制数。 (1)FF;(2)3FF;(3)AB;(4)13FF 解:(1)(FF)16=255 (2)(3FF)16=1023 (3)(AB)16=171 (4)(13FF)16=5119 【题1-4】将下列十六进制数转换为二进制数。 (1)11;(2)9C;(3)B1;(4)AF 解:(1)(11)16=(00010001)2 (2)(9C)162 (3)(B1)16=(1011 0001)2 (4)(AF)162 【题1-5】将下列二进制数转换为十进制数。 (1)1110.01;(2)1010.11;(3)1100.101;(4)1001.0101 解:(1)(1110.01)2=14.25 (2)(1010.11)2=10.75 (3)(1001.0101)2=9.3125 【题1-6】将下列十进制数转换为二进制数。 (1)20.7;(2)10.2;(3)5.8;(4)101.71 解:(1)20.7=(10100.1011)2 (2)10.2=(1010.0011)2 (3)5.8=(101.1100)2 (4)101.71=(1100101.1011)2 【题1-7】写出下列二进制数的反码与补码(最高位为符号位)。 解:(1)01101100是正数,所以其反码、补码与原码相同,为01101100 【题1-8】将下列自然二进制码转换成格雷码。 000;001;010;011;100;101;110;111 解:格雷码:000、001、011、010、110、111、101、100 【题1-9】将下列十进制数转换成BCD码。 (1)25;(2)34;(3)78;(4)152 解:(1)25=(0010 0101)BCD (2)34=(0011 0100)BCD (3)78=(0111 1000)BCD

《数字电子技术基础》课后习题答案

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷) 第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B

练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1 758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*1 6-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16 -2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1 950.98828125)10

数字电子技术基础简明教程第三版课后练习题含答案

数字电子技术基础简明教程第三版课后练习题含答案 1. 十六进制转换 1.将十六进制数A6B3转换成十进制数。 答案:42547 解析:将十六进制数A6B3转换成十进制数的计算公式为: $A\\times 16^3 + 6\\times 16^2 + B\\times 16 + 3 = 42547$。 2.将十进制数2037转换成十六进制数。 答案:7F5 解析:将十进制数2037转换成十六进制数的计算方法如下:•除以16,商为127,余数为5; •再次除以16,商为7,余数为15,即F; •最后商为0,余数为7,即7。 所以十进制数2037转换成十六进制数为7F5。 2. 布尔代数 1.A+AB=A 答案:真 解析:$A+AB = A\\times 1 + A\\times B = A\\times (1+B) = A\\times 1 = A$。 2.AB+BC+CA=AB+AC

答案:真 解析:AB+BC+CA=AB+AC的推导如下: AB+BC+CA =AB+AC+BC+CA−AC−BD−BD+BD =A(B+C)+B(C+D)−B(D+C)−C(A+D) =A(B+C)−C(A+D)+B(C−D) =AB+AC−BC+BD =AB+AC。 3. 数字逻辑门 1.OR 门和 AND 门的输出关系是什么? 答案:OR 门输出为真当且仅当其输入中至少有一位为真;AND 门输出为真当且仅当其输入中所有的位都为真。 2.NOT 门的输出关系是什么? 答案:NOT 门的输出与输入相反,即若输入为真,则输出为假;若输入为假,则输出为真。 4. 状态分析 1.下面是一个简单的计数器状态图,完成以下计数器输出的 对应状态表。 计数器状态图 计数器状态图

数字电子技术第3章课后参考答案

第三章 组合逻辑电路思考题与习题参考答案 [题3-1]解:图P3-1:21,F F 真值表如表D3-1所示。 ABC C B A F ABC F +==21, [题3-2]解:图P3-2(a)因为B A AB A F ==1 A B AB B F ==3 A B B A F +=2 (a) 图是一位数值比较器 (b) 1 111)(----⊕⊕=++=⋅⊕=i i i i i C B A S AC BC AB AB B A C C 所以(b)图是一位全加器 [题3-3]解:图P3-3:21,F F 真值表如表D3-2、表D3-3 表D3-2

表D3-3 11001B A B A F ⊕+⊕= D C B A F ⊕⊕⊕=2 所以图P3-3(a)逻辑电路图是2位二进制数等值比较器,当0101B B A A =时,输出11=F 否则01=F 图P3-3(b)逻辑电路图是输入信号A 、B 、C 、D 中含有偶数个0时,输出12=F 否则01=F [题3-4]解:设4变量为A 、B 、C 、D ,输出为F ,根据题意,列真值表如表D3-4所示。

根据真值表画卡诺图如图D3-1所示。 图D3-1 CD B A D C B A D ABC D C AB BCD A D C B A D C B A D C B A F +++++++= 先构成CD D C D C D C AB B A B A B A ⋅⋅⋅⋅,,,,然后构成F 逻辑图如图D3-3所示。

图D3-2 [题3-5]解:设输入信号为012,,A A A ;输出信号为012,,F F F 。根据题意列真值表如表D3-5所示。 表D3-5 可以用异或门实现。 001122,,A C F A C F A C F ⊕=⊕=⊕= 逻辑电路图如图D3-3所示。 图D3-3 2A C 2 F 1 A 0A C 1 F 0 F

《数字电子技术基础简明教程(第三版)答案》

《数字电子技术基础简明教程(第三版)答案》《数字电子技术基础简明教程(第三版)答案》 数字电子技术是现代电子工程中的重要领域之一,它涉及到数字信 号的处理和电子电路的设计。《数字电子技术基础简明教程(第三版)》 是一本经典教材,本文将为读者提供此教材的答案,以帮助读者更好 地学习和理解数字电子技术的基础知识。 第一章:数字系统基础 1.1 数字系统的表示与计数 1.1.1 二进制数的表示 答案:二进制数是一种使用0和1表示数值的数制。它与我们日常 生活中常用的十进制数不同,但在数字电子技术中却是最基本和常用 的表示方式。 1.1.2 进制转换 答案:进制转换是指将一个数从一种进制表示转换为另一种进制的 表示。常见的进制转换包括二进制转十进制、十进制转二进制、二进 制转八进制、八进制转二进制等。 1.2 逻辑代数与逻辑函数 1.2.1 逻辑代数基本概念

答案:逻辑代数是一种用于描述和分析逻辑函数的代数系统。它包括逻辑运算符、逻辑表达式和逻辑常数等基本概念。 1.2.2 基本逻辑函数 答案:基本逻辑函数是逻辑代数中的基本构成元素,包括与、或、非等逻辑运算。常见的基本逻辑函数有与门、或门、非门等。 第二章:组合逻辑电路 2.1 组合逻辑电路的基本概念 答案:组合逻辑电路是由逻辑门和其他逻辑元件组成的电路,其输出只与当前输入有关,与过去的输入和未来的输入无关。 2.2 组合逻辑电路的设计 2.2.1 真值表法 答案:真值表法是一种根据逻辑函数的真值表推导出逻辑电路的设计方法。通过真值表可以清晰地了解逻辑函数的各种输入输出组合。 2.2.2 卡诺图法 答案:卡诺图法是一种用于简化逻辑函数的方法。通过在卡诺图上标示出逻辑函数的主项和次项,可以得到较为简化的逻辑函数,从而减少逻辑门的使用数量。 第三章:时序逻辑电路 3.1 时序逻辑电路的基本概念

数字电子技术基础简明教程第三版课后答案

数字电子技术基础简明教程第三版课后真题及答 案 一、填空题: 1、数字电路是将离散信号转换为_______信号和_______信号的电路。 答案:连续、离散 2、5V的伏安数字栅栏电路的量子数是_______。 答案:32 3、中级语言的汇编代码等同于_______、_______和_______的指令集。 答案:机器语言、汇编语言、高级语言

4、计算机系统的五大组成部分是_____、_____、_____、_____和_____。 答案:外部设备、操作系统、应用软件、中央处理器、存储器 5、在主动补偿电桥示波器中,如果桥腿中缺少____,则电桥不能正常工作。 答案:任意一腿 6、CMOS IC中钝化材料起着___和___的作用。 答案:屏蔽、绝缘 7、某逻辑电路的输出信号是___。 答案:H(无条件为高) 8、某电路的最大输入偏差为___A。

答案:2 9、在双色指示灯中,___ 颜色代表数据有效,___ 颜色代表数据无效。 答案:绿、红 10、在光电转换中,光电器件功能是将_____改变为______脉冲。 答案:光信号、电 二、判断题: 1、在反应型门逻辑中,输入和输出信号位于同一层。() 答案:错 2、数字电路是采用模拟电路实现的。 ( )

答案:错 3、锁存器的触发条件是高电平的输入信号。 ( ) 答案:错 4、数据通常是以二进制数来表示的。 ( ) 答案:对 5、P-表查询法实际上也是一种查找法。 ( ) 答案:对 6、反应型门逻辑中I型门的输出比输入快得多。 ( )答案:错

7、在周期COUNT中,周期一般指的是一个单位时间内触发一次。( ) 答案:对 8、在RS触发器中,R=1时,触发器处于复位状态。 ( ) 答案:对 9、在双色指示灯中,绿色代表数据可用,红色代表数据无效。( ) 答案:错 10、计算机是一套硬件系统及相关软件构成的有机整体。 ( ) 答案:对

电子教案数字电子技术邱寄帆教学资源第3章习题及答案-精品

一、选择题 1.三态门输出高阻状态时,是正确的说法。 A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 2.以下电路中可以实现“线与”功能的有o A.与非门 B.三态输出门 C.集电极开路门 D.漏极开路门 3.以下电路中常用于总线应用的有o A.TSLH B.OC n C.漏极开路门 D.CMOS与非门 4.逻辑表达式Y=AB可以用实现。 A.正或门 B.正非门 C.正与门 D.负或门 5.TTL电路在正逻辑系统中,以下各种输入中相当于输入逻辑“1”。 A.悬空 B.通过电阻2.7kQ接电源 C.通过电阻2.7kQ接地 D.通过电阻510Q接地 6.对于TTL与非门闲置输入端的处理,可以o A.接电源 B.通过电阻3kQ接电源 C.接地 D.与有用输入端并联 7.要使TTL与非门工作在转折区,可使输入端对地外接电阻%。 A.>R ON B.R OFF 8.三极管作为开关使用时,要提高开关速度,可o A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 9.CMOS数字集成电路与TTL数字集成电路相比突出的优点是 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 10.与CT4000系列相对应的国际通用标准型号为o A.CT74s肖特基系列 B.CT74LS低功耗肖特基系列 C.CT74L低功耗系列 D.CT74H高速系列 二、判断题(正确打J,错误的打X) 1.TTL与非门的多余输入端可以接固定高电平。() 2.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 3.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。() 4.两输入端四与非门器件74LS00与740()的逻辑功能完全相同。() 5.CMOS或非门与TTL或非门的逻辑功能完全相同。()

《数字电子技术基础》第三章习题答案

第三章组合数字电路习题答案 3.1 Y =ABC ABC ABC ABC =' m(0,3,5,6) - A - B 二C 3.21、S=X 二Y二Z C=X(Y ㊉Z) +YZ =XY +XZ+YZ P=Y 二Z L=YZ 2、当取S和C作为电路的输出时,此电路为全加器。 3.3F i=X= Y二Z F2(X 二Y) Z F3=XY 二Z F4^XYZ 3.4B2= B^ D B A D C = D CB CA 若输入DCBA为BCD8421码,列表可知D' C' B'为ABCD2421码。 3.5Ph m(0,7) =ABC ABC P2八 m(1,2,3,4,5,6^ AB BC AC 该电路为一致性判别电路,当 A B C相同时,P1=1;不同时P2=1。 3•表达式:F2=M, F1=ML MH LH (或按虚线框得HM • L) 2卡诺图 F F1

3.7 1•真值表:

A B J 0 D J 0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 D= ' m(1,2,4,7) = A 二 B 二 J 。 J 二 ' m (1 , 2,3,A)二 B J 。 AB 4、用双四选一数据选择器实现 3.10(略) 3.11 L 丨丄 "1" 1_Il 2EN 3.9 Y= AB AC Y CD AB 00 01 11 10 E E c 00 01 11 10 T 3 丄 丄 丄 丄 7 工 &」 c —Lz F=AB+BC 3、用74LS138实现 74LS138 MUX 1 EN 0 1 2 3 1 2 3 A —— B 一 2禺

数字电子技术练习题第3章习题及答案

第3章习题 一、填空题 1.逻辑电路中,电平接近0时称为电平,电平接近V CC或V DD时称为电平。 2.数字电路中最基本的逻辑门有、和门。常用的复合逻辑门有门、门、门、门和门。 3.图腾结构的TTL集成电路中,多发射极三极管可完成逻辑功能。 4.CMOS反相器是两个型的MOS管组成,且其中一个是管,另外一个是 管,由于两管特性对称,所以称为互补对称CMOS反相器。 5.TTL与非门输出高电平U OH的典型值是V,低电平U OL的典型值是V。 6.普通的TTL与非门具有结构,输出只有和两种状态;TTL三态与非门除了具有态和态,还有第三种状态态,三态门可以实现结构。 7.集电极开路的TTL与非门又称为门,几个门的输出可以并接在一起,实现功能。 8.TTL集成电路和CMOS集成电路相比较,其中集成电路的带负载能力较强,而集成电路的抗干扰能力较强。 9.用三态门构成总线连接时,依靠端的控制作用,可以实现总线的共享而不至于引起。 10. TTL集成与门多余的输入端可;TTL集成或门多余的输入端可。 二、判断下列说法的正误 1.所有的集成逻辑门,其输入端子均为两个或两个以上。() 2.根据逻辑功能可知,异或门的反是同或门。() 3.具有图腾结构的TTL与非门可以实现“线与”逻辑功能。() 4.基本逻辑门电路是数字逻辑电路中的基本单元。() 5.TTL和CMOS两种集成电路与非门,其闲置输入端都可以悬空处理。() 6.74LS系列产品是TTL集成电路的主流产品,应用最广泛。() 7.74LS系列集成电路属于TTL型,CC4000系列集成电路属于CMOS型。() 8.与门多余的输出端可与有用端并联或接低电平。() 9.OC门不仅能够实现“总线”结构,还可构成与或非逻辑。() 10.一个四输入与非门,使其输出为0的输入变量取值组合有7个。() 三、单项选择题 1.具有“有1出0、全0出1”功能的逻辑门是()。 A、与非门 B、或非门 C、异或门 D、同或门

课后习题答案_第3章_门电路

数字电子技术基础第三章习题答案 3-1 如图3-63a~d所示4个TTL门电路,A、B端输入的波形如图e所示,试分别画出F1、F2、F3和F4的波形图。 略 3-2 电路如图3-64a所示,输入A、B的电压波形如图3-64b所示,试画出各个门电路输出端的电压波形。 略 3-3 在图3-7所示的正逻辑与门和图3-8所示的正逻辑或门电路中,若改用负逻辑,试列出它们的逻辑真值表,并说明F和A、B之间是什么逻辑关系。 答:(1)图3-7负逻辑真值表 F与A、B之间相当于正逻辑的“或”操作。 (2)图3-8负逻辑真值表 F与A、B之间相当于正逻辑的“与”操作。

3-4 试说明能否将与非门、或非门、异或门当做反相器使用?如果可以,各输入端应如何连接? 答:三种门经过处理以后均可以实现反相器功能。(1)与非门: 将多余输入端接至高电平或与另一端并联;(2)或非门:将多余输入端接至低电平或与另一端并联;(3) 异或门:将另一个输入端接高电平。 3-5 为了实现图3-65所示的各TTL 门电路输出端所示的逻辑关系,请合理地将多余的输入端进行处理。 答:a )多余输入端可以悬空,但建议接高电平或与另两个输入端的一端相连; b)多余输入端接低电平或与另两个输入端的一端相连; c) 未用与门的两个输入端至少一端接低电平,另一端可以悬空、接高电平或接低电平; d )未用或门的两个输入端悬空或都接高电平。 3-6 如要实现图3-66所示各TTL 门电路输出端所示的逻辑关系,请分析电路输入端的连接是否正确?若不正确,请予以改正。 答:a )不正确。输入电阻过小,相当于接低电平,因此将Ω50提高到至少2K Ω。 b) 不正确。第三脚V CC 应该接低电平。 c )不正确。万用表一般内阻大于2K Ω,从而使输出结果0。因此多余输入端应接低电平,万用表只能测量A 或B 的输入电压。 3-7 (修改原题,图中横向电阻改为6k Ω,纵向电阻改为3.5 k Ω,β=30改为β=80) 为了提高TTL 与非门的带负载能力,可在其输出端接一个NPN 晶体管,组成如图3-67所示的开关电路。当与非门输出高电平V OH =3.6V 时,晶体管能为负载提供的最大电流是多少? 答:如果输出高电平,则其输出电流为(3.6-0.7)/6=483u A ,而与非门输出高电平时最大负载电流是400u A ,因此最大电流L I (4000.7/3.5)8016mA =-⨯=。

数字电子技术题目第三章电子技术基础第三章答案

数字电子技术题目第三章电子技术基础第三章 答案 第三章 组合逻辑电路一.填空题 1.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A2A1A0=100时,输出Y7’Y6’Y5’Y4’Y3’Y2’Y1’Y0’应为 。 2.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A2A1A0=时,输出Y7’Y6’Y5’Y4’Y3’Y2’Y1’Y0’应为 。 3.数字电路按照是否有记忆功能通常可分为两类: 和。 4.16选1数据选择器,其地址输入端有 个 5.8选1数据选择器有____________条地址控制线。 二.选择题 1.在下列逻辑电路中,不是组合逻辑电路的是 A.译码器 B.编码器 C.全加器 D.寄存器 2.三十二路数据选择器,其地址输入端有

个 A.16 B.2 C.5 D.8 3.数据选择器是具有 通道的器 A.多输入单输出 B.多输入多输出 C.单输入单输出 D.单输入多输出 4.欲对全班54个同学以二进制代码编码表示,最少需要二进制的位数是( )A.5 B.6 C.10 D.53 5.已知A、B为逻辑门的输入端,F为输出端,其输入、输出波形如图1所示。试判断这是哪种逻辑门的波形 。 图1 A.与非门 B.与门 C.或非门 D.或门三.分析与设计 1.将逻辑函数F=A’B’+A’C’+ABC 转化为与非-与非表达式,并画出只由与非门实现的逻辑电路图。 2.将逻辑函数Y=AB+BC+CA化为与非-与非形式,并画出只由与非门实现的逻辑电路图。 3.用8选1数据选择器74HC151实现函数

F=A’C’+A’B’C+AB’C’+ABC。 74HC15 1 4.用8选1数据选择器74HC151实现逻辑函数 F=A’C’+A’B’+ABC。 74HC15 1 5.用8选1数据选择器实现函数 F=AC+A’BC’+A’B’C 。 74HC151 6.用译码器74HC138实现函数 F=AC+A’BC’+A’B’C。要求写出设计过程。 7.译码器74HC138的逻辑符号如图8所示。用译码器74HC138实现逻辑函数F=AC+A’BC+A’B’。要求写出设计过程。 8.某生产线有3部车床A、B、C,其中A和B的功率相等,C的功率是A的2倍。这些车床由2台发电机X和Y供电,发电机X的最大功率等于车床A的功率,发电机Y的功率是X的3倍。要求设计一个逻辑电路,能够根据车床的起动和关闭信号,以最节约的方式起、停发电机。要求:写出详细的设计过程和用与非门、非门实现的电路图。 9.设计电路完成以下功能:设有一个主裁判和两个边裁对足球赛的判罚进行表决,即三人中有两个或两个以上同意时,则判

万里学院-数字电子技术基础-第三章习题及参考答案

第三章锁存器与触发器 一、选择题 1.N个触发器可以构成能寄存位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 2.一个触发器可记录一位二进制代码,它有个稳态。 A.0 B.1 C.2 D.3 3.对于D触发器,欲使Q n+1=Q n,应使输入D= 。 A.0 B.1 C.Q D.Q 4.存储8位二进制信息要个触发器。 A.2 B.3 C.4 D.8 5.对于T触发器,若原态Q n=0,欲使新态Q n+1=1,应使输入T= 。 A.0 B.1 C.Q D.Q 6.对于T触发器,若原态Q n=1,欲使新态Q n+1=1,应使输入T= 。 A.0 B.1 C.Q D.Q 7.在下列触发器中,有约束条件的是。 A.主从JK F/F B.主从D F/F C.同步RS F/F D.边沿D F/F 8.对于JK触发器,若J=K,则可完成触发器的逻辑功能。 A.RS B.D C.T D.Tˊ 9.欲使JK触发器按Q n+1=Q n工作,可使JK触发器的输入端。 A.J=K=0 B.J=Q,K=Q C.J=Q,K=Q D.J=Q,K=0 E.J=0,K=Q 10.欲使JK触发器按Q n+1=Q n工作,可使JK触发器的输入端。 A.J=K=1 B.J=Q,K=Q C.J=Q,K=Q D.J=Q,K=1 E.J=1,K=Q 11.欲使JK触发器按Q n+1=0工作,可使JK触发器的输入端。 A.J=K=1 B.J=Q,K=Q C.J=Q,K=1 D.J=0,K=1 E.J=K=1 12.欲使JK触发器按Q n+1=1工作,可使JK触发器的输入端。 A.J=K=1 B.J=1,K=0 C.J=K=Q D.J=K=0 E.J=Q,K=0 13.欲使D触发器按Q n+1=Q n工作,应使输入D= 。 A.0 B.1 C.Q D.Q 14.下列触发器中,克服了空翻现象的有。

数字电子技术基础(第3版)练习答案

第1章 数字电路基础 1.1 (1001010)2=1×26 +1×23 +1×21 =(74)10 (111001)2=1×25+1×24+1×23+1×20 =(57)10 1.2 (54)10=(110110)2 (47)10=(101111) 2 54 27 13 6 3 1 0 1……MSB 1 0 1 1 0……LSB 2 47 23 11 5 2 1 0 1……MSB 0 1 1 1 1……LSB 1.3 (58A)16 =(0101 1000 1010)2=1×210+1×28+1×27+1×23+1×21 =1024+256+128+10=(1418)10 或(58A)16=5×162 +8×161 +10×160 =(1418)10 (CE)16 =(1100 1110)2=27 +26 +14=128+64+14=(206)10 =(0010 0000 0110)8421BCD 1.4 a 1.5 c 1.6 c 1.7 (×) 1.8 (×) 1.9 (√) 1.10 ① 数字信号:在幅值上,时间上离散的(间断的、不连续的脉冲)信号. ② 数字电路:产生、处理、传输、变换数字信号的电路称为数字电路. ③ 数字电路的特点:a )电路处于开关状态. 与二进制信号要求相一致,这两个状态分别用0和1两个数码表示;b )数字电路的精度要求不高,只要能区分出两种状态就可以;c )数字电路研究的问题是逻辑问题,一为逻辑分析,是确认给定逻辑电路的功能,二为逻辑设计,是找到满足功能要求的逻辑电路;d )研究数字电路的方法是逻辑分析方法,其主要工具是逻辑代数.有代数法和卡诺图法等;e )数字电路能进行逻辑运算、推理、判断,也能进行算术运算.算术运算也是通过逻辑运算实现的. 1.11 ① 位置计数法:将表示数值的数码从左到右按顺序排列起来.它有三个要素a )基数R ,是指相邻位的进位关系,十进制R =10,即逢十进一,二进制R =2,即逢二进一.b )数码:表示数字的符号,十进制k i 从0~9共十个.二进制k i 是0和1,十六进制k i 从0~9~A~F 共十六个.c )位权:数码处于不同位置代表不同的位权,用R i 表示.以小数点前从右到左为i 的位

数字电子技术第3章习题答案

3.1自测练习答案 1. 逻辑函数 2. 逻辑表达式、真值表、逻辑电路图、卡诺图和波形图 3. 表3-1ABC F = 真值表 A B C ABC F = 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 4. 5. (略) 3.2自测练习答案 1. 与、或、非 2. 代入规则 、 反演规则、 对偶规则 3. a 和 c 4. a d 5. a 6. D C B A +、D C AB + 7. )(E D C B A ++、)(E D C B A ++ 8. * F F = 3.3自测练习答案 1. A 2. AD 3. AC D + 4. B AC +

5. BC C A + 6. B A + 7. C AB + 8. C A AB + 9. C A AB + 3.4自测练习答案 1. 标准与或表达式、 标准或与表达式 2. 1、12-n 3. n 2 4. 最大项 5. 4,5,6,7,12,13,14,15 6. )5,4,3,1(M ∏ 7. )4,2,0(M ∏ 8. )7,5,4,1,0(m ∑ 9. 10. D BC A D C B A + 11. ))((D C B A D C B A ++++++ 12. C 3.5自测练习答案 1. 1 2. n 2 3. 格雷码 A B C F 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 1 1 1 0 1

4.C B A 、C B A ++ 5. m 6 6. M 1 7. 8. 9. 10.)7,6,5(d ∑ 第三章练习答案 3.1、C AB C B A BC A F ++= 3.2、(a)1,0,0 (b)1,1,1 (c)0,1,0 3.3.略 3.4.(a)))()((D C A C B B A F +++= (b))]))([(G F E D C B A F ++++= 3.5(a)))((*C B C A F ++= (b)D E D C B A F )]([*++= 3.6 提示: 列出真值表可知: (1)不正确, (2)不正确, (3 正确, (4) 正确

数字电子技术基础简明教程(第三版)余孟尝第三章-完成ok

第三章 组合逻辑电路 【】 分析图P3.1电路的逻辑功能,写出Y 1、Y 2的逻辑函数式,列出真值表,指出电路完成了什么逻辑功能. Y 1 【解】 1()Y ABC A B C AB AC BC ABC ABC ABC ABC =+++•++=+++ 2Y AB BC AC =++ 由真值表可见,这是一个全加器电路。A 、B 、C 为加数、被加数和来自低位的进位,Y 1是和,Y 2是进位输出。

【】 图3.2是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1;Z=0和COMP=0,Z=0时Y 1,Y 2,Y 3,Y 4的逻辑式,列出真值表。 Y 1 Y 2 Y 3 Y 4 A 1 A 2 A 3 A 4 Z 【解】 (1)COMP=1、Z=0时输出的逻辑式为 1122 323 4 234Y A Y A Y A A Y A A A ⎧=⎪ =⎪⎨ =⊕⎪⎪=++⎩ 〔2〕COMP=0、Z=0时输出的逻辑式为 11 22 3344 Y A Y A Y A Y A =⎧⎪=⎪⎨ =⎪⎪=⎩〔即不变换,真值表从略〕

3个或3个以上为1时输出1,输入为其他状态时输出0。 【解】 Y ABCD ABCD ABCD ABCD ABCD ABC ABD ACD BCD ABC ABD ACD BCD =++++=+++=••• B D Y

【】 有一水箱由大、小两台水泵M L 、M S 供水,如图P3.4所示.水箱中设置了3个水位检测元件A 、B 、C 。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C 点时水泵停止工作;水位低于C 点高于B 点时M S 单独工作;水位低于B 点而高于A 点时M L 单独工作;水位低于A 点时M L 、M S 同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。 【解】 图P3.4 M L

相关主题
文本预览
相关文档 最新文档