当前位置:文档之家› 数字电子技术基础(第四版)课后习题答案_第三章

数字电子技术基础(第四版)课后习题答案_第三章

第3章

[题3.1] 分析图P3.1电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

[解]

BC

AC AB Y BC

AC AB C B A ABC Y ++=+++++=21)(

B 、

C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。

[题3.2] 图P3.2是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

[解]

(1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。

3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++=

(2)COMP=0、Z=0时,

Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。

COMP =0、Z=0的真值表从略。

[题3.3] 用与非门设计四变量的

多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。

[解] 题3.3的真值表如表A3.3所示,逻辑图如图A3.3所示。

ABCD D ABC D C AB CD B A BCD A Y ++++= BCD ACD ABC ABC +++=

B C D A C D A B D A B C ⋅⋅⋅=

[题3.4] 有一水箱由大、小两台泵M L 和M S 供水,如图P3.4所示。水箱中设置了3个水位检测元件A 、B 、C 。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C 点时水泵停止工作;水位低于C 点而高于B 点时M S 单独工作;水位低于B 点而高于A 点时M L 单独工作;水位低于A 点时M L 和M S 同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。

[解] 题3.4的真值表如表A3.4所示。

真值表中的C B A 、C B A 、C B A 、C AB 为约束项,利用卡诺图图A3.4(a)化简后得到:

C

B A M S

+=, B M L =(M S 、M L 的1状态表示工作,0状态表示停止)。

逻辑图如图A3.4(b)。

[题3.5] 设计一个代码转换电路,输入为4位二进制代码,输出为4位循环码。可以采用各种逻辑功能的门电路来实现。

[解] 题3.5的真值表如表A3.5所示。

由真值表得到 33A Y =, 232A A Y ⊕=, 121A A Y ⊕=, 010A A Y ⊕= 逻辑图如图A3.5所示。

[题3.6] 试画出用4片8线-3线优先编码器74LS148组成32线-5线优先编码器的逻辑图。74LS148的逻辑图见图3.3.3。允许附加必要的门电路。

[解] 以310~I I 表示32个低电平有效的编码输入信号,以D 4D 3D 2D 1D 0表示输出编码,可列出D 4、D 3与Y EX4Y E X3Y EX2Y EX1关系的真值表。如表A3.6所示。

从真值表得到3

4

344EX EX EX EX Y Y Y Y D ⋅=+= 2

42

4

3EX EX EX

EX

Y

Y

Y Y D ⋅=+=

逻辑电路图略。

[题3.7] 某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。现要求当一号病室的铵钮按下时,无论其他病室内的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下,而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的铵钮是否按下,只有三号灯亮。只有在一、二、三号病室的按钮均未按下,而四号病室的按钮按下时,四号灯才亮。试分别用门电路和

优先编码器74LS148及门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。74LS148的逻辑图如图P3.7所示,其功能表如表P3.7所示。

表 P3.7 74LS148的功能表

[解]

设一、二、三、四号病室分别为输入变量A 、B 、C 、D ,当其值为1时,表示呼叫按钮按下,为0时表示没有按呼叫铵钮;设一、二、三、四号病室呼叫指示灯分别为L 1、L 2、L 3、L 4,其值为1指示灯亮,否则灯不亮,列出真值表,如表A3.7示。

表A3.7

则 L 1=A ,L 2=B A ⋅,L 3=C B A ⋅⋅,

L 4=D C B A ⋅⋅⋅

由上式可得出用门电路实现题目要求的电路如图A3.7(a)所示。将该真值表与表P3.7对照可知,在74LS148中 47~I I 应接1,3I 接A ,2I 接B ,1I 接C ,0I 接D 。 L 1=S Y Y Y 01, L 2=S Y Y Y 01, L 3=S Y Y Y 01, L 4=S Y Y Y 01 所以,用74LS148实现的电路如图A3.7(b)所示。

[题3.8] 写出图P3.8中Z 1、Z 2、Z 3的逻辑函数式,并化简为最简的与-或表达式。74LS42为拒伪的二-十进制译码器。当输入信号A 3A 2A 1A 0为0000~1001这10种状态时,输出端从

90Y Y 到依次给出低电平,当输入信号为伪码时,输出全为1。

[解]

NOP M P O N M P O N M Y Y Y Z ++==7411

P O N M P O N M P O N M Y Y Y Z ++==8522

P O N M P O N M P O N M Y Y Y Z ++==9633 利用伪码用卡诺图化简,得:

NOP P O N P O N M Z +++=1 P M P O N P O N Z ++=2

MP P NO OP N Z ++=3

约束条件: 0=+MO MN

[题3.9] 画出用两片4线-16线译码器74LS154组成5线-32线译码的接线图。图P3.9是74LS154的逻辑框图,图中B A S S 、是两个控制端(亦称片选端)译码器工作时应使

B A S S 、同时为低电平,输入信号A 3、A 2、A 1、A 0

为0000~1111这16种状态时,输出端

从150Y Y 到依次给出低电平输出信号。

[解] 电路如图A3.9所示。当A 4=0时,片(1)工作,150Y Y ⋅⋅⋅对应输出低电平;当A 4=1时,片(2)工作,3116Y Y ⋅⋅⋅对应输出低电平。

[题3.10] 试画出用3线-8线译码器74LS138和门电路产生多输出逻辑函数的逻辑图(74LS138逻辑图如图P3.10所示,功能表如表P3.10所示)。

⎩⎪

⎨⎧+=++==C AB C B Y BC C B A C B A Y AC Y 321

[解] 令A=A 2,B=A 1 ,C=A O 。将Y 1Y 2Y 3写成最小项之和形式,并变换成与非-与非形

式。

∑===751Y Y )7 5i (mi Y

74312Y Y Y Y )7,4,3,1j (mj Y ===∑

∑===6403Y Y Y 0,4,6)mk(k Y

用外加与非门实现之,如图A3.10所示。

[题3.11] 画出用4线-16线译码器74LS154(参见题3.9)和门电路产生如下多输出逻辑函数的逻辑图。

D C B A D C B A D C B A D C B A Y 1+++=

D ABC D C AB CD B A BCD A Y +++=2

B A Y =3

[解]

842184211Y Y Y Y m m m m Y =+++= 141311714131172Y Y Y Y m m m m Y =+++= 7

65476543Y

Y Y Y m m m m Y =+++=

电路图如图A3.11所示。

[题3.12] 用3线-8线译码器74LS138和门电路设计1位二进制全减器电路。输入为被减数、减数和来自低位的借位;输出为两数之差及向高位的借位信号。

[解] 设a i 为被减数,b i 为减数,c i-1为来自低位的借位,

首先列出全减器真值表,然后将Di ,Ci 表达式写成非-与非形式。最后外加与非门实现之。由全减器真值表知:

1111----+++=i i i i i i i i i i i i i c b a c b a c b a c b a D

7421m m m m +++=7421m m m m =7421Y Y Y Y =

全减器真值表

同理可知 7321Y Y Y Y Ci

令a i =A 2,b i =A 1,c i-1=A 0。电路如图A3.12所示。

[题3.13] 试用两片双4选1数据选择器74LS153和3线-8线译码器74LS138接成16选1数据选择器。74LS153的逻辑图见图3.3.20,74LS138的逻辑图见图3.3.8。

[解] 见图A3.13。

[题3.14] 分析图P3.14电路,写出输出Z 的逻辑函数式,并化简。CC4512为8选1数据选择器,它的逻辑功能表如表P3.14所示。

表P3.14 CC4512的功能表

[解] 7711m D m D m D Z O O ++=

A

CB D A B DC A B DC A B C A B C D A B C D +++++=

=A B D A B C B D ++

[题3.15] 图P3.15是用两个4选1数据选择器组成的逻辑电路,试写出输出Z 与输入M 、N 、P 、Q 之间的逻辑函数。已知数据选择器的逻辑函数式为

[]

S A A D A A D A A D A A D Y ⋅+++=013012011010

[解] ()()

PQ N Q P N P MQ N Q M N P NMQ Q M N Z +=+++=

[题3.16] 试用4选1数据选择器74LS153产生逻辑函数BC C A C B A Y ++= [解] 4选1数据选择器表达式为: 301201101010D A A D A A D A A D A A Y +++=

而所需的函数为

ABC

BC A C B A C B A C B A BC C A C B A Y ++++=++=

C AB C AB B A C B A ⋅+⋅+⋅+⋅=1

与4选1数据选择器逻辑表达式比较,则令

01A B A A ==,,C D C D D C D ====32101,,,

接线图如图A3.16所示。

[题3.17] 用8选1数据选择器CC4512(参见题3.14)产生逻辑函数

D C B BC CD B A D C A Y +++=

[解] 令A=A 2,B=A 1,C=A 0,D=D 0~D 7,将Y 写成最小项之和的形式,找出与8选1数据选择器在逻辑上的对应关系,确定D 0~D 7所接信号。

D

ABC BCD A D BC A CD B A D C AB D C B A Y +++++⋅=

D

C B A

D C AB ABCD +++111⋅+⋅+⋅⋅+⋅+⋅+⋅=ABC C AB D C B A BC A D C B A D C B A

则 ,,D D D D D ====4150017632====D D D D D , 如图A3.17所示。

[题3.18] 用8选1数据选择器CC4512(参见题3.14)产生逻辑函数 C B A C B A AC Y ⋅++=

[解] 将Y 变换成最小项之和形式。

1 111 ⋅+⋅+⋅+⋅=++=C B A C B A ABC C B A C B A C B A AC Y

令A=A 2,B=A 1,C=A 0,

凡Y 中含有的最小项,其对应的Di 接1,否则接0。如图A3.18所示。

[题3.19] 设计用3个开关控制一个电灯的逻辑电路,要求改变任何一个开关的状态都控制电灯由亮变灭或由灭变亮。要求用数据选择器来实现。

[解] 以A 、B 、C 表示三个双位开关,并用0和1分别表示开关的两个状态。以Y 表示灯的状态,用1表示亮,用0表示灭。设ABC =000时Y =0,从这个状态开始,单独改变任何一个开关的状态Y 的状态要变化。据此列出Y 与A 、B 、C 之间逻辑关系的真值表。如表A3.19所示。

表A3.19

从真值表写出逻辑式 A B C C B A C B A C B A Y +++=

取4选1数据选择器,令A 1=A ,A 0=B ,D 0=D 3=C ,D 1=D 2=C ,即得图A3.19。

[题3.20] 人的血型有A 、B 、AB 、O 四种。输血时输血者的血型与受血者血型必须符合图P3.20中用箭头指示的授受关系。试用数据选择器设计一个逻辑电路,判断输血者与受血者的血型是否符合上述规定。(提示:可以用两个逻辑变量的4种取值表示输血者的血型,用另外两个逻辑变量的4种取值表示受血者的血型。)

[解] 以MN 的4种状态组合表示输血者的4种血型,并以PQ 的4种状态组合表示受血者的4种血型,如图A3.20(a)所示。用Z 表示判断结果,Z =0表示符合图A3.20(a)要求,Z =1表示不符合要求。

据此可列出表示Z 与M 、N 、P 、Q 之间逻辑关系的真值表。从真值表写出逻辑式为

PQ N M Q P N M Q P N M NPQ M Q P N M PQ N M Q P N M Z ++++++=

01 ⋅+⋅+⋅+⋅+⋅+⋅+⋅+⋅=MNP P MN Q P N M P N M Q NP M Q P N M Q P N M Q P N M 其真值表如表A3.20所示。

表A3.20

令A2=M,A1=N,A0=P,并使D0=D1=D3=D5=Q,D2=Q,D4=1,D6=D7=0,则得到图A3.20(b)电路。

[题3.21] 用8选数据选择器CC4512(参见题3.14)设计一个组合逻辑电路。该电路有3个输入逻辑变量A、B、C和1个工作状态控制变量M。当M=0时电路实现“意见一致”功能(A、B、C状态一致时输出为1,否则输出为0),而M=1时电路实现“多数表决”功能,即输出与A、B、C中多数的状态一致。

[解]根据题意可列出真值表,如表A3.21所示。以Z表示输出。

)

(

(+

B

Y)

=

C

+

+

+

+

A

C

C

ABC

ABC

M

B

AB

A

A

M

BC

BC

A

B

C

C

A

A

B

M

C

M

A

B

0⋅

+

A

+

+

B

=C

+

M

AB

C

A

C

B

1⋅

+

M

+

+ABC

用CC4512接成的电路如图A3.21。

其中A2=A,A1=B,A0=C,D0=M,D1=D2=D4=0,D3=D5=D6=M,D7=1。

[题3.22] 用8选1数据选择器设计一个函数发生器电路,它的功能表如表P3.22所示。

[解] 由功能表写出逻辑式

A S S

B A B A S S B A S S AB S S Y 01010101)()(+++++=

B A S S A S S B A S S B A S S A S S ⋅+⋅+⋅+⋅+⋅=010*********

01010101⋅+⋅+⋅+A S S A S S B A S S

令A 2=S 1,A 1=S 0,A 0=A ,D 0=D 7=0,D 1=D 2=D 4=B ,D 3=D 6=1,D 5=B ,即得到图A3.22电路。

[题3.23] 试用4位并进行加法器74LS283设计一个加/减运算电器。当控制信号M=0时它将两个输入的4位二进制数相加,而M=1时它将两个输入的4位二进制数相减。允许附加必要的电路。

[解] 电路如图A3.23。

M=0时,S 3S 2S 1S 0=P 3P 2P 1P 0+Q 3Q 2Q 1Q 0,

M=1时,S 3S 2S 1S 0= P 3P 2P 1P 0-Q 3Q 2Q 1Q 0= P 3P 2P 1P 0+[ Q 3Q 2Q 1Q 0]补

[题3.24]能否用一片4位并行加法器74LS283将余3代码转换成8421的二十进制代码?如果可能,应当如何连线?

[解]由第一章的表1.1.1可知,从余3码中减去3(0011)即可能得到8421码。减3可通过加它的补码实现。若输入的余3码为D3D2D1D0,输出的8421码为Y3Y2Y1Y0,则有Y3Y2Y1Y0= D3D2D1D0+[0011]补= D3D2D1D0+1101,于是得到图A3.24电路。

[题3.25]试利用两片4位二制并行加法器74LS283和必要的门电路组成1位二—十进制加法器电路。(提示:根据BCD码中8421码的加法运算规则,当两数之和小于、等于9(1001)时,相加的结果和按二进制数相加所得到的结果一样。当两数之和大于9(即等于1010~1111)时,则应在按二进制数相加的结果上加6(0110),这样就可能给出进位信号,同时得到一个小于9的和。)

[解]由表可见,若将两个8421的二—十进制数A3A2A1A0和B3B2B1B0用二进制加法器相加,则当相加结果≤9(1001)时,得到的和S3S2S1S0就是所求的二—十进制和。而当相加结果≥10(1010)以后,必须将这个结果在另一个二进制加法器加6(0110)进行修正,才能得到二—十进制数的和及相应的进位输出。由表可知,产生进位输出C’0的条件为

C’0=C0+S3S2+S3S1

产生C’0的同时,应该在S3S2S1S0上加6(0110),得到的S’3S’2S’1S’0和C’0就是修正后的结果。故得到图A3.25电路。

[题3.26]若使用4位数值比较器CC14585(见图3.3.32)组成10位数值比较器,需要用几片?各片之间的应如何连接?

[解]

需要用三片。根据CC14585的功能表,各片之间的连接方法如图A3.26所示。

[题3.27]试用两个4位数值比较器组成三个数的判断电路。要求能够判别三个4位二进制数A(a3a2a1a0)、B(b3b2b1b0)、C(c3c2c1c0)是否相等、A是否最大、A是否最小,并分别给出“三个数相等”、“A最大”、“A最小”的输出信号。可以附加必要的门电路。

[解] 如图A3.27所示。

[题3.28] 若将二一十进制编码中的8421码、余3码、余3循环码、2421码和5211码分别加到二—十进制译码器74LS42(见图3.3.10)的输入端,并按表1.1.1的排列顺序依次变化时,输出端是否都会产生尖峰脉冲?试简述理由。

[解] 在这几种二—十进制编码中,只有将余3循环码加到74LS42的输入端、并令其按表1.1.1的状态排列顺序变化时,不会在输出端产生尖峰脉冲。因为每次输入状态变化时,任何一个与门的4个输入当中仅可能有一个改变状态,所以不存在竞争—冒险现象。

[题3.29] 试分析图P3.29 电路当中A 、B 、C 、D 单独一个改变状态时是否存在竞争-冒险现象?如果存在竞争-冒险现象,那么都发生在其他变量为何种取值的情况下?

[解] 写出Y 的逻辑表达式:

D C C B D B A CD A D C C B D B A CD A Y +++=⋅=

根据“只要输出端的逻辑函数在一定条件下能化简成A A +或A A ,则必然会出现竞争冒险现象”的方法可知:

当B=0,C=D=1,A 发生变化时; 当A=0,B=D=1,C 发生变化时; 当A=D=1,C=0,B 发生变化时; 当A=0,B=X ,C=1,D 发生变化时; 当B=1,D=0,A=X ,C 发生变化时; 当A=1,B=0,C=1,D 发生变化时; 电路均会产生竞争冒险现象。

数字电子技术课后答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F += )(D C B A F +=' 6.))((C B D C B A F +++= 7. 代数法 卡诺图 8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F =1⊙B AB F =2 B A F +=3 1.5 1.6 C L = 1.7 AB C B A BC Y ++= 习题 1.1 当000012=A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C ++=0 1.3略 1.4 (1) )(B A D C F ++=)(1 ))((1B A D C F ++=' (2) )(B A B A F ++=)(2 ))((2B A B A F ++=' (3) E D C B A F =3 DE C AB F =' 3

(4) )()(4D A B A C E A F +++=)( ))()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L ++= (2) D B C B D C A L +++= (3) AD L = (4) E ABCD L = (5) 0=L 1.7 C B A BC A C AB ABC C B A L +++=),,( 1.8(1) ABD D A C F ++=1 (2) BC AB AC F ++=2 (3) C A B A B A F ++=3 (有多个答案) (4) C B D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F +++=5 (6) 16=F 1.9 (1) AD D C B B A F ++=1 (2) B A AC F +=2 (3) D A D B C B F ++=3 (4) B C F +=4 1.10 (1) C A B F +=1 (2) B C F +=2 (3) D A B C F ++=3 (4) C B A D B D C F ++=4 1.11 C A B A D F ++= 1.12 (1) D B A D C A D C B F ++=1(多种答案) (2) C B BCD D C D B F +++=2 (3) C B C A D C F ++=3 (4) A B F +=4 (5) BD D B F +=5 (6) C B D A D C A F ++=6(多种答案) (7) C A D B F +=7(多种答案) (8) BC D B F +=8(多种答案) (9) B D C F +=9 1.13 略 第2章 自测题 2.1 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题 2.1解:ABC Y =1

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电子技术基础 第三章

数字电子技术基础第三章 一、单选题(每题1分) 1.由与非门构成的基本RS触发器的输入端为R、S,则其约束条件为。 A RS=0 B R+S=1 C RS=1 D R+S=0 2.在组合逻辑电路的常用设计方法中,可以用来表示逻辑函数。 A 真值表 B 状态表 C 状态图 D 特性方程 3.在下列逻辑电路中,不是组合逻辑电路的有。 A 译码器 B 编码器 C 全加器 D 寄存器 4.用代码代表特定信号或者将代码赋予特定含义的过程称为。 A 译码 B 编码 C 数据选择 D 奇偶校验 5.一个8路数据选择器,其地址输入(选择控制输入)端有。 A 1个 B 2个 C 3个 D 4个 6.一个16路数据选择器,其地址输入(选择控制输入)端有 __ 个。 A 16个 B 2个 C 4个 D 8个 7.在下列逻辑电路中,不是组合逻辑电路的有。 A 译码器 B 编码器 C 全加器 D 寄存器 8.在下列电路中,只有属于组合逻辑电路。 A 触发器 B 计数器 C 数据选择器 D 寄存器 9.在几个信号同时输入时,只对优先级别最高的进行编码叫。 A 数据选择 B 优先编码 C 数据比较 D 译码 10.一个4路数据选择器,其地址输入(选择控制输入)端有______个。 A 2个 B 3个 C 4个 D 5个 11.一个32路数据选择器,其地址输入(选择控制输入)端有。 A 2个 B 3个 C 4个 D 5个 12.在二进制译码器中,若输入有4位代码,则输出有信号。 A 2个 B 4个 C 8个 D 16个 13.能完成两个1位二进制数相加并考虑到低位来的进位的电路称为。 A 编码器 B 译码器 C 全加器 D 半加器 14.在下列逻辑电路中,不是组合逻辑电路的有。 A 译码器 B 编码器 C 全加器 D 寄存器 15.在组合逻辑电路的常用设计方法中,可以用来表示逻辑函数。 A 真值表 B 状态表 C 状态图 D 特性方程 16.组合逻辑电路一般由_ _组合而成。 A 门电路 B 触发器 C 计数器 D 寄存器 二、判断题(每题1分) 1.用代码代表特定信号或者将代码赋予特定含义的过程称为下列选项中的C。() A 译码 B 编码 C 数据选择 D 奇偶校验 2.一个班级有78位学生,现采用二进制编码器对每位学生进行编码,则编码器输出至少7位二进制数才能满足要求。() 3.半导体数码管的每个显示线段都是由下列选项中的C构成的 A 灯丝 B 发光二极管 C 发光三极管 D 熔丝 4.在二进制译码器中,若输入有4位代码,则输出信号数应选用下列选项中的C。 A 2个 B 4个 C 8个 D 16个 5.欲实现一个三变量组合逻辑函数,应选用下列选项中的C。() A 编码器 B 译码器 C 数据选择器 D 6.通过四位数值比较器HC85比较两数的大小时,在A3 =B3、A2=B2情况下,如果A1>B1,则输出F(A>B〉=1,F(A

《数字电子技术基础》课后习题答案

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷) 第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B

练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1 758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*1 6-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16 -2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1 950.98828125)10

课后习题答案_第3章_门电路

数字电子技术基础第三章习题答案 3-1 如图3-63a~d所示4个TTL门电路,A、B端输入的波形如图e所示,试分别画出F1、F2、F3和F4的波形图。 略 3-2 电路如图3-64a所示,输入A、B的电压波形如图3-64b所示,试画出各个门电路输出端的电压波形。 略 3-3 在图3-7所示的正逻辑与门和图3-8所示的正逻辑或门电路中,若改用负逻辑,试列出它们的逻辑真值表,并说明F和A、B之间是什么逻辑关系。 答:(1)图3-7负逻辑真值表 F与A、B之间相当于正逻辑的“或”操作。 (2)图3-8负逻辑真值表 F与A、B之间相当于正逻辑的“与”操作。

3-4 试说明能否将与非门、或非门、异或门当做反相器使用?如果可以,各输入端应如何连接? 答:三种门经过处理以后均可以实现反相器功能。(1)与非门: 将多余输入端接至高电平或与另一端并联;(2)或非门:将多余输入端接至低电平或与另一端并联;(3) 异或门:将另一个输入端接高电平。 3-5 为了实现图3-65所示的各TTL 门电路输出端所示的逻辑关系,请合理地将多余的输入端进行处理。 答:a )多余输入端可以悬空,但建议接高电平或与另两个输入端的一端相连; b)多余输入端接低电平或与另两个输入端的一端相连; c) 未用与门的两个输入端至少一端接低电平,另一端可以悬空、接高电平或接低电平; d )未用或门的两个输入端悬空或都接高电平。 3-6 如要实现图3-66所示各TTL 门电路输出端所示的逻辑关系,请分析电路输入端的连接是否正确?若不正确,请予以改正。 答:a )不正确。输入电阻过小,相当于接低电平,因此将Ω50提高到至少2K Ω。 b) 不正确。第三脚V CC 应该接低电平。 c )不正确。万用表一般内阻大于2K Ω,从而使输出结果0。因此多余输入端应接低电平,万用表只能测量A 或B 的输入电压。 3-7 (修改原题,图中横向电阻改为6k Ω,纵向电阻改为3.5 k Ω,β=30改为β=80) 为了提高TTL 与非门的带负载能力,可在其输出端接一个NPN 晶体管,组成如图3-67所示的开关电路。当与非门输出高电平V OH =3.6V 时,晶体管能为负载提供的最大电流是多少? 答:如果输出高电平,则其输出电流为(3.6-0.7)/6=483u A ,而与非门输出高电平时最大负载电流是400u A ,因此最大电流L I (4000.7/3.5)8016mA =-⨯=。

数字电子技术题目第三章电子技术基础第三章答案

数字电子技术题目第三章电子技术基础第三章 答案 第三章 组合逻辑电路一.填空题 1.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A2A1A0=100时,输出Y7’Y6’Y5’Y4’Y3’Y2’Y1’Y0’应为 。 2.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A2A1A0=时,输出Y7’Y6’Y5’Y4’Y3’Y2’Y1’Y0’应为 。 3.数字电路按照是否有记忆功能通常可分为两类: 和。 4.16选1数据选择器,其地址输入端有 个 5.8选1数据选择器有____________条地址控制线。 二.选择题 1.在下列逻辑电路中,不是组合逻辑电路的是 A.译码器 B.编码器 C.全加器 D.寄存器 2.三十二路数据选择器,其地址输入端有

个 A.16 B.2 C.5 D.8 3.数据选择器是具有 通道的器 A.多输入单输出 B.多输入多输出 C.单输入单输出 D.单输入多输出 4.欲对全班54个同学以二进制代码编码表示,最少需要二进制的位数是( )A.5 B.6 C.10 D.53 5.已知A、B为逻辑门的输入端,F为输出端,其输入、输出波形如图1所示。试判断这是哪种逻辑门的波形 。 图1 A.与非门 B.与门 C.或非门 D.或门三.分析与设计 1.将逻辑函数F=A’B’+A’C’+ABC 转化为与非-与非表达式,并画出只由与非门实现的逻辑电路图。 2.将逻辑函数Y=AB+BC+CA化为与非-与非形式,并画出只由与非门实现的逻辑电路图。 3.用8选1数据选择器74HC151实现函数

F=A’C’+A’B’C+AB’C’+ABC。 74HC15 1 4.用8选1数据选择器74HC151实现逻辑函数 F=A’C’+A’B’+ABC。 74HC15 1 5.用8选1数据选择器实现函数 F=AC+A’BC’+A’B’C 。 74HC151 6.用译码器74HC138实现函数 F=AC+A’BC’+A’B’C。要求写出设计过程。 7.译码器74HC138的逻辑符号如图8所示。用译码器74HC138实现逻辑函数F=AC+A’BC+A’B’。要求写出设计过程。 8.某生产线有3部车床A、B、C,其中A和B的功率相等,C的功率是A的2倍。这些车床由2台发电机X和Y供电,发电机X的最大功率等于车床A的功率,发电机Y的功率是X的3倍。要求设计一个逻辑电路,能够根据车床的起动和关闭信号,以最节约的方式起、停发电机。要求:写出详细的设计过程和用与非门、非门实现的电路图。 9.设计电路完成以下功能:设有一个主裁判和两个边裁对足球赛的判罚进行表决,即三人中有两个或两个以上同意时,则判

《数字电子技术》课后习题答案

第1单元能力训练检测题(共100分,120分钟) 一、填空题:(每空0.5分,共20分) 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题(每小题1分,共10分) 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。(对) 3、8421BCD码、2421BCD码和余3码都属于有权码。(错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。(对) 3、每个最小项都是各变量相“与”构成的,即n个变量的最小项含有n个因子。(对) 4、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。(错) 5、逻辑函数F=A B+A B+B C+B C已是最简与或表达式。(错) 6、利用约束项化简时,将全部约束项都画入卡诺图,可得到函数的最简形式。(错) 7、卡诺图中为1的方格均表示逻辑函数的一个最小项。(对)

数字电子技术基础习题答案完整版

数字电子技术基础习题 答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

数字电子技术基础答案 第1章 自测题 填空题 1. 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F )(D C B A F +=' 6.))((C B D C B A F 7. 代数法 卡诺图 8. 1 判断题 1. √ 2.√ 3. × 选择题 A F =1⊙ B AB F 2 B A F +=3 C L = AB C B A BC Y 习题 1.1 当000012 A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 略 (1) )(B A D C F )(1 ))((1B A D C F ++=' (2) )(B A B A F )(2 ))((2B A B A F ++=' (3) E D C B A F 3 DE C AB F =' 3 (4) )()(4D A B A C E A F )( ))()((4D A C AB E A F +++='

C B A F ⊕⊕= (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L C B A BC A C AB ABC C B A L ),,( (1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F 5 (6) 16 F (1) AD D C B B A F 1 (2) B A AC F 2 (3) D A D B C B F 3 (4) B C F 4 (1) C A B F 1 (2) B C F 2 (3) D A B C F 3 (4) C B A D B D C F 4 C A B A D F (1) D B A D C A D C B F 1(多种答案) (2) C B BCD D C D B F 2 (3) C B C A D C F 3 (4) A B F 4 (5) BD D B F 5 (6) C B D A D C A F 6(多种答案) (7) C A D B F 7(多种答案) (8) BC D B F 8(多种答案) (9) B D C F 9 略 第2章 自测题 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 习题 解:ABC Y =1 解:(a)mA 234.050 3 .012=-=-= C CES CC BS R U V I β ∴三极管处于放大状态,)V (711.05012=⨯⨯-=-=C B CC O R I V u β。

《数字电子技术基础》第三章习题答案

第三章组合数字电路习题答案 3.1 Y =ABC ABC ABC ABC =' m(0,3,5,6) - A - B 二C 3.21、S=X 二Y二Z C=X(Y ㊉Z) +YZ =XY +XZ+YZ P=Y 二Z L=YZ 2、当取S和C作为电路的输出时,此电路为全加器。 3.3F i=X= Y二Z F2(X 二Y) Z F3=XY 二Z F4^XYZ 3.4B2= B^ D B A D C = D CB CA 若输入DCBA为BCD8421码,列表可知D' C' B'为ABCD2421码。 3.5Ph m(0,7) =ABC ABC P2八 m(1,2,3,4,5,6^ AB BC AC 该电路为一致性判别电路,当 A B C相同时,P1=1;不同时P2=1。 3•表达式:F2=M, F1=ML MH LH (或按虚线框得HM • L) 2卡诺图 F F1

3.7 1•真值表:

A B J 0 D J 0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 D= ' m(1,2,4,7) = A 二 B 二 J 。 J 二 ' m (1 , 2,3,A)二 B J 。 AB 4、用双四选一数据选择器实现 3.10(略) 3.11 L 丨丄 "1" 1_Il 2EN 3.9 Y= AB AC Y CD AB 00 01 11 10 E E c 00 01 11 10 T 3 丄 丄 丄 丄 7 工 &」 c —Lz F=AB+BC 3、用74LS138实现 74LS138 MUX 1 EN 0 1 2 3 1 2 3 A —— B 一 2禺

数字电子技术第3章课后参考答案

第三章 组合逻辑电路思考题与习题参考答案 [题3-1]解:图P3-1:21,F F 真值表如表D3-1所示。 ABC C B A F ABC F +==21, [题3-2]解:图P3-2(a)因为B A AB A F ==1 A B AB B F ==3 A B B A F +=2 (a) 图是一位数值比较器 (b) 1 111)(----⊕⊕=++=⋅⊕=i i i i i C B A S AC BC AB AB B A C C 所以(b)图是一位全加器 [题3-3]解:图P3-3:21,F F 真值表如表D3-2、表D3-3 表D3-2

表D3-3 11001B A B A F ⊕+⊕= D C B A F ⊕⊕⊕=2 所以图P3-3(a)逻辑电路图是2位二进制数等值比较器,当0101B B A A =时,输出11=F 否则01=F 图P3-3(b)逻辑电路图是输入信号A 、B 、C 、D 中含有偶数个0时,输出12=F 否则01=F [题3-4]解:设4变量为A 、B 、C 、D ,输出为F ,根据题意,列真值表如表D3-4所示。

根据真值表画卡诺图如图D3-1所示。 图D3-1 CD B A D C B A D ABC D C AB BCD A D C B A D C B A D C B A F +++++++= 先构成CD D C D C D C AB B A B A B A ⋅⋅⋅⋅,,,,然后构成F 逻辑图如图D3-3所示。

图D3-2 [题3-5]解:设输入信号为012,,A A A ;输出信号为012,,F F F 。根据题意列真值表如表D3-5所示。 表D3-5 可以用异或门实现。 001122,,A C F A C F A C F ⊕=⊕=⊕= 逻辑电路图如图D3-3所示。 图D3-3 2A C 2 F 1 A 0A C 1 F 0 F

数字电子技术基础课后习题及答案

第1章习题与参考答案 【题1-1】将以下十进制数转换为二进制数、八进制数、十六进制数。 〔1〕25;〔2〕43;〔3〕56;〔4〕78 解:〔1〕25=〔11001〕2=〔31〕8=〔19〕16 〔2〕43=〔101011〕2=〔53〕8=〔2B〕16 〔3〕56=〔111000〕2=〔70〕8=〔38〕16 〔4〕〔1001110〕2、〔116〕8、〔4E〕16 【题1-2】将以下二进制数转换为十进制数。 〔1〕10110001;〔2〕10101010;〔3〕11110001;〔4〕10001000 解:〔1〕10110001=177 〔2〕10101010=170 〔3〕11110001=241 〔4〕10001000=136 【题1-3】将以下十六进制数转换为十进制数。 〔1〕FF;〔2〕3FF;〔3〕AB;〔4〕13FF 解:〔1〕〔FF〕16=255 〔2〕〔3FF〕16=1023 〔3〕〔AB〕16=171 〔4〕〔13FF〕16=5119 【题1-4】将以下十六进制数转换为二进制数。 〔1〕11;〔2〕9C;〔3〕B1;〔4〕AF 解:〔1〕〔11〕16=〔00010001〕2 〔2〕〔9C〕16=〔10011100〕2 〔3〕〔B1〕16=〔1011 0001〕2 〔4〕〔AF〕16=〔10101111〕2 【题1-5】将以下二进制数转换为十进制数。 〔1〕1110.01;〔2〕1010.11;〔3〕1100.101;〔4〕1001.0101 解:〔1〕〔1110.01〕2=14.25 〔2〕〔1010.11〕2=10.75 〔3〕〔1001.0101〕2=9.3125 【题1-6】将以下十进制数转换为二进制数。 〔1〕20.7;〔2〕10.2;〔3〕5.8;〔4〕101.71 解:〔1〕20.7=〔10100.1011〕2 〔2〕10.2=〔1010.0011〕2 〔3〕5.8=〔101.1100〕2 〔4〕101.71=〔1100101.1011〕2 【题1-7】写出以下二进制数的反码与补码〔最高位为符号位〕。 〔1〕01101100;〔2〕11001100;〔3〕11101110;〔4〕11110001 解:〔1〕01101100是正数,所以其反码、补码与原码一样,为01101100

数字电子技术基础习题含答案

运 放 题 库 1.如下图,123A A A 、、 均为理想运算放大器,求输出电压o U 的值。 U I2 4mV U O 2.一放大电路如下图,12A A 、 均为理想运算放大器,试写出输出电压o U 与输入电压 I1I2 U U 、 之间的关系式。 U o 3.电路如下图,请答复: 〔1〕预实现()o I2I1K U U U =-的运算关系〔K 为常数,〕电阻 1234R R R R 、 、、之间应有什么 关系?设12A A 、 均为理想运算放大器。 U o U I2 U I1 〔2〕在实际电路中,输入电压I1I2U U 、 的大小应受什么限制? 4.计算图中所示电路的o U 值。设123A A A 、、 均为理想运算放大器。

U I2U I1U o 5.设图中的14A A ~均为理想运算放大器,写出输出电压o 的表达式。 U o U I2 U I1 6.写出图中电路工作在t 秒后的o u 表达式。设I1I2U U 、 为稳定直流电压, (0)0c u V ,运算放大器都是理想的。 U u o 7.图示电路中,123A A A 、、 均为理想运算放大器,电容器上的初始电压为零。 〔1〕14A A ~各组成何种根本应用电路? 〔2〕列出1234o o o o u u u u 、、、与输入电压123I I I u u u 、、、的关系式。

u o4 u 8.试用两个集成运算放大器设计一个电路,使其输出电压与输入电压之间满足以下关系: 12()5()5()o I I t t dt t u u u =-⎰。可供选用的电容种类有1000pF,10uF;电阻种类有9.1k Ω,10k Ω,20k Ω,100k Ω,1M Ω。 9.设图9-1中的运算放大器都是理想的,输入电压的波形如图9-2所示,电容器上的初始电压为零,试画出o u 的波形。 10.设图中的16A A ~都是理想运算放大器。 〔1〕说明16A A ~ 各组成何种电路; 〔2〕写出123o o o u u u 、、的表达式,电容上的初始电压设为零。 9-1 0u I1(V) u I2(V) 39-2

数字电子技术基础_北京理工大学中国大学mooc课后章节答案期末考试题库2023年

数字电子技术基础_北京理工大学中国大学mooc课后章节答案期末考试题库2023年 1.逐次渐近型8位A/D转换器中的8位D/A转换器的Vomax=10.2V,若输 入为3V,则转换后的数字输出D为: 答案: 01001011 2.用ROM设计逻辑电路实现两个两位二进数的全加运算,则需要ROM的地 址线和数据线至少为: 答案: 5,3 3.存储容量为8k×16位的RAM,地址线有()根。 答案: 13 4.静态RAM一般采用MOS管的栅极电容来存储信息,必须由刷新电路定期 刷新。 答案: 错误 5.以下触发器电路中能够实现二进制计数器(对输入的时钟进行计数,辨识两 个时钟周期)功能的电路有: 答案: ___

6.ROM为只读存储器,因此不能对其进行写操作。 答案: 错误 7.施密特触发器具有两个稳定状态。 答案: 正确 8.由555定时器构成的电路如图所示,则此电路能够实现()功能。【图片】 答案: 单稳态触发器 9.555定时器构成电路如图所示,则此电路的功能为:【图片】 答案: 施密特触发器 10.【图片】上图可以实现的逻辑功能为: 答案: 半减器 11.下图所示74LS151为8选1数据选择器,当用此器件设计逻辑函数【图片】 时,D0D1D2D3D4D5D6D7应分别连接到:【图片】 答案: 01001010

12.如图所示74LS283为四位二进制加法计数器,如果要将输入的8421BCD码 D3D2D1D1转换为余3码F3F2F1F0,并且把D3D2D1D1分别接到输入端 A3A2A1A0上,则输入端B3B2B1B0和低位进位输入CI分别接到:【图片】答案: 00101 13.已知TTL反相器电路的参数如下:VOH/ VOL=3.6V/0.3V,IOH/ IOL=0.2mA/8mA, IIH/ IIL=20uA/0.4mA ,则其驱动同类门的数量即其扇出 系数为多少。 答案: 10 14.三位环形计数器的模为()? 答案: 3 15.如图所示电路输出信号为00110010。【图片】 答案: 正确 16.单稳态触发器输出信号的脉宽由输入的触发信号决定。 答案: 错误

数字电子技术基础第四版课后答案

第七章半导体存储器 [题7.1] 存储器和寄存器在电路结构和工作原理上有何不同? [解] 参见第7.1节。 [题7.2] 动态存储器和静态存储器在电路结构和读/写操作上有何不同? [解] 参见第7.3.1节和第7.3.2节。 [题7.3] 某台计算机的内存储器设置有32位的地址线,16位并行数据输入/输出端,试计算它的最大存储量是多少? [解] 最大存储量为232×16=210×210×210×26=1K×1K×1K×26=64G [题7.4] 试用4片2114(1024×4位的RAM)和3线-8线译码器74LS138(见图3.3.8)组成4096×4位的RAM。 [解] 见图A7.4。 [题7.5] 试用16片2114(1024×4位的RAM)和3线-8线译码器74LS138(见图3.3.8)接成一个8K×8位的RAM。 [解] 见图A7.5。

[题7.6] 已知ROM 的数据表如表P7.6所示,若将地址输入A 3A 2A 1A 0作为4个输入逻辑变量,将数据输出D 3D 2D 1D 0作为函数输出,试写出输出与输入间的逻辑函数式。 [ 解] D 3=0123012301230123A A A A A A A A A A A A A A A A +++ D 2=01230123012301230123A A A A A A A A A A A A A A A A A A A A ++++ +0123A A A A D 1=0123012301230123A A A A A A A A A A A A A A A A +++ D 0=01230123A A A A A A A A + [题7.7] 图P7.7是一个16×4位的ROM ,A 3、、A 2、A 1、A 0为地址输入,D 3、D 2、D 1、D 0是数据输出,若将D 3、D 2、D 1、D 0视为A 3、、A 2、A 1、A 0的逻辑函数,试写出D 3、D 2、D 1、D 0的逻辑函数式。 [解] 01230123012301233A A A A A A A A A A A A A A A A D +++= 0123012301232A A A A A A A A A A A A D ++= 12301230123012301231A A A A A A A A A A A A A A A A A A A A D ++++= 012301230123012301230A A A A A A A A A A A A A A A A A A A A D ++++= 01230123 A A A A A A A A ++ [题7.8] 用16×4位的ROM 设计一个将两个2位二进制数相乘的乘法器电路,列出ROM 的数据表,画出存储矩阵的点阵图。 地址输入 数据输出 地址输入 数据输出 A 3A 2A 1A 0 D 3D 2D 1D 0 A 3A 2A 1A 0 D 3D 2D 1D 0 0000 000 1 0010 001 1 0100 010 1 0110 011 1 000 1 0010 0010 0100 0010 0100 0100 1000 1000 100 1 1010 101 1 1100 110 1 1110 111 1 0010 0100 0100 1000 0100 1000 1000 000 1

万里学院-数字电子技术基础-第三章习题及参考答案

第三章锁存器与触发器 一、选择题 1.N个触发器可以构成能寄存位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 2.一个触发器可记录一位二进制代码,它有个稳态。 A.0 B.1 C.2 D.3 3.对于D触发器,欲使Q n+1=Q n,应使输入D= 。 A.0 B.1 C.Q D.Q 4.存储8位二进制信息要个触发器。 A.2 B.3 C.4 D.8 5.对于T触发器,若原态Q n=0,欲使新态Q n+1=1,应使输入T= 。 A.0 B.1 C.Q D.Q 6.对于T触发器,若原态Q n=1,欲使新态Q n+1=1,应使输入T= 。 A.0 B.1 C.Q D.Q 7.在下列触发器中,有约束条件的是。 A.主从JK F/F B.主从D F/F C.同步RS F/F D.边沿D F/F 8.对于JK触发器,若J=K,则可完成触发器的逻辑功能。 A.RS B.D C.T D.Tˊ 9.欲使JK触发器按Q n+1=Q n工作,可使JK触发器的输入端。 A.J=K=0 B.J=Q,K=Q C.J=Q,K=Q D.J=Q,K=0 E.J=0,K=Q 10.欲使JK触发器按Q n+1=Q n工作,可使JK触发器的输入端。 A.J=K=1 B.J=Q,K=Q C.J=Q,K=Q D.J=Q,K=1 E.J=1,K=Q 11.欲使JK触发器按Q n+1=0工作,可使JK触发器的输入端。 A.J=K=1 B.J=Q,K=Q C.J=Q,K=1 D.J=0,K=1 E.J=K=1 12.欲使JK触发器按Q n+1=1工作,可使JK触发器的输入端。 A.J=K=1 B.J=1,K=0 C.J=K=Q D.J=K=0 E.J=Q,K=0 13.欲使D触发器按Q n+1=Q n工作,应使输入D= 。 A.0 B.1 C.Q D.Q 14.下列触发器中,克服了空翻现象的有。

《数字电子技术基础》习题没答案

《数字电子技术基础》习题 第一章第一章数字电子技术概述 1.数字信号和模拟信号各有什么特点?描写脉冲波形有哪些主要参数 2.和模拟电路相比,数字电路有哪些优点? 3.在数字系统中为什么要采用二进制?它有何优点? 4.数字电路和模拟电路的工作各有何特点? ⒌把下列二进制数转换成十进制数: 10010110 11010100 0101001 110110.111 101101.101 ⒍将下列数转换为十进制数:1101B 4FBH 110.11B ⒎将下列数转换为二进制数:7.85D 3DF.2BH 256D ⒐将下列数转换为十六进制数:256D 1101.11B 110.11B ⒑将下列十进制数转换为对应的八进刺数: 21 130 27 250 48 1012 95 100.625 ⒒分别用842lBCD码、余3码表示下列各数: (9.04)10 (263.27)10 (1101101)2 (3FF)16 (45.7)8 ⒓列出用BCD码代替二进制的优点 ⒔列出用BcD码代替二进制的主要缺点j ⒕在数字系统的运算电路中使用BCD的主要缺点是什么 ⒖格雷码的另一个名字是什么 ⒗二极管电路及输入电压ui的波形如图1-1所示,试对应画出各输出电压的波形。 图1-1 ⒘半导体三极管的开、关条件是什么?饱和导通和截止时各有什么特点?和半导体二极管比较,它的主要优点是什么? ⒙⒙判断图1-2所示各电路中三极管的工作状态,并计算输出电压u o的值。

图1-2 ⒚N沟造增强型MOS管的开、关条件是什么?导通和截止时各有什么特点?和P沟道增强型MOS管比较,两者的主要区别是什么? 第二章第二章集成逻辑门电路 ⒈请举出生活中有关“与”、“或”、“非”的逻辑概念.并各举两个例子说明。 ⒉如图2-1所示,是二极管门电路,请分析各电路的逻辑功能.并写出其表达式。

数字电子技术基础(第四版)课后习题答案_第三章

第3章 [题3.1] 分析图P3.1电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。 [解] BC AC AB Y BC AC AB C B A ABC Y ++=+++++=21)( B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题3.2] 图P3.2是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

[解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题3.3] 用与非门设计四变量的 多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题3.3的真值表如表A3.3所示,逻辑图如图A3.3所示。 ABCD D ABC D C AB CD B A BCD A Y ++++= BCD ACD ABC ABC +++= B C D A C D A B D A B C ⋅⋅⋅=

[题3.4] 有一水箱由大、小两台泵M L 和M S 供水,如图P3.4所示。水箱中设置了3个水位检测元件A 、B 、C 。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C 点时水泵停止工作;水位低于C 点而高于B 点时M S 单独工作;水位低于B 点而高于A 点时M L 单独工作;水位低于A 点时M L 和M S 同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。 [解] 题3.4的真值表如表A3.4所示。 真值表中的C B A 、C B A 、C B A 、C AB 为约束项,利用卡诺图图A3.4(a)化简后得到: C B A M S +=, B M L =(M S 、M L 的1状态表示工作,0状态表示停止)。 逻辑图如图A3.4(b)。

相关主题
文本预览
相关文档 最新文档