当前位置:文档之家› 集成电路的后端设计

集成电路的后端设计

集成电路的后端设计
集成电路的后端设计

集成电路的后端设计

集成电路的后端设计包括版图设计和验证。我们采用Cadence的Virtuoso Layout Editor的版图设计环境进行版图设计。利用Virtuoso Layout Editer的集成验证工具DIV A进行了验证。验证的整个的过程包括:设计规则检查(Design Rule Checking 简称DRC )、电学规则检查(Electronics Rule Checking 简称ERC)、电路图版图对照(Layout Versus Schematic 简称LVS)、以及版图寄生参数提取(Layout Parameter Extraction 简称LPE)。

版图设计流程

1、整体设计:确定版图主要模块和焊盘的布局。这个布局图应该和功能框图或电路图大体一致,然后根据模块的面积大小进行调整。布局设计的另一个重要的任务是焊盘的布局。焊盘的安排要便于内部信号的连接,要尽量节省芯片面积以减少制作成本。焊盘的布局还应该便于测试,特别是晶上测试。

2、分层设计:设计者按照电路功能划分整个电路,对每个功能块进行再划分,每一个模块对应一个单元。从最小模块开始到完成整个电路的版图设计,设计者需要建立多个单元。这一步就是自顶向下的设计 。这样做有很多好处,最为突出的优点是当在整个电路多次出现的某一个模块需要修改时,直接在下一层次修改该模块,上一层的所有同样单元就一并得到修改,结构严谨、层次清晰。

3、版图的检查:

①执行DRC程序,对每个单元版图进行设计规则检查,并修改错处。在画版图的过程中要不时地进行设计规则检查。运行DRC,程序就按照Diva规则检查文件运行,发现错误时,会在错误的地方做出标记(mark),并且做出解释(explain)。设计者就可以根据提示来进行修改。需要注意的是,DRC要在画图过程中经常进行,及时发现问题及时修改,不要等到版图基本完成后在做,这时再出现的错误往往很难修改,因为各个器件的位置已经相对固定,对于电路一处的改动往往牵连到多个相邻的器件,从而造成更多的问题。

②执行EXT程序,对版图进行包括电路拓扑结构、元件及其参数的提取。设计规则检查只检验几何图形的正确与否。在电路方面的错误,要用到Cadence提供的另外两种功能:Extract和LVS。Extract是系统根据版图和工艺文件提取版图的电路特性,也就是“认出”版图代表什么电路器件,NMOS或是PMOS,还是其他。电路提取后的版图作为单元的另外一种试图(Extracted)保存下来。

③执行LVS程序,将提取出的版图与电路图进行对照,并进行修改直到版图和电路图完全一致。LVS就是把Extracted与单元的另外一种视图—schematic比较,检查版图实现的电路是否有错。所以,在LVS之前应该把设计好的电路图做出来。

4、寄生参数的提取和后仿真:在实际电路的制作过程中,会产生三种寄生参数,它们分别为:寄生电容、寄生电感和寄生电阻。这三类寄生参数会给电路带来两方面的影响:

①引入噪声,影响电路的稳定性和可靠性;

②增加传输延迟,影响电路速度。寄生电阻多由金属或多晶硅布线层产生。而寄生电容则主要由金属连线和搀杂区产生。寄生电容是集成电路中最重要的寄生的参数,是影响电路性能的主要因素。

寄生参数的提取就是根据版图的几何特征(金属块、搀杂区的面积、周长及与周围的布线的间距),估计出寄生的电阻和电容值。然后把这些寄生参数反标回电路中进行模拟,以优化电路设计 。

5、在电路外围做上焊盘和保护环。焊盘作为电路的输入和输出并用于芯片测试,而保护环用以连接对地的PAD,并能够隔离衬底噪声。

6、版图的最终完成:确认版图设计无误后,就可以生成GDSII 或CIF 文件。这两种文件都是国际通用的标准版图数据文件格式。芯片制造厂家根据GDSII 或CIF 文件来制作掩膜,制造芯片 。

验证的具体过程

版图画好之后,就要对其进行验证。版图编辑要按照一定的设计规则来进行,也就是要通过DRC(Design Rule Checker)检查。编辑好的版图通过了设计规则的检查后,有可能还有错误,这些错误不是由于违反了设计规则,而是可能与实际线路图不一致。版图中少连了一根铝线这样的小毛病对整个芯片来说是致命的,所以编辑好的版图还要通过LVS(Layout Versus Schematic)验证。同时,编辑好的版图通过寄生参数提取程序来提取出电路的寄生参数,电路仿真程序可以调用这个数据来进行后模拟。下面的框图可以更好的理解这个流程。

验证工具有很多,我们采用的是Cadence环境下的验证工具集DIV A,DIV A 的各个组件之间是互相联系的,有时候一个组件的执行要依赖另一个组件先执行。例如:要执行LVS就先要执行DRC等。在Cadence系统中,Diva集成在版图编辑程序Virtuoso和线路图编辑程序Composer中,在这两个环境中都可以激活Diva。要运行Diva前,还要准备好规则验证的文件。可以把这个文件放在任何目录下。这些文件有各自的默认名称,如:设计规则文件一般叫做divaDRC.rul,版图提取规则文件一般叫做divaEXT.rul。做LVS时规则文件一般叫做divaLVS.rul。

后端工作流程

首先,要作DRC检查。注意要在Switch name中选择相应的工艺,本例中,我们使用的是两层金属四层多晶硅工艺,因此选择2p4m,如图1。通过之后再作LVS,这时要给版图文件标上端口,这是LVS的一个比较的开始点,而且端口的名称要和Schematic中的Pin Name一一对应。在LSW窗口中,选中metal(pn)层(或poly pn层视情况而定),pn指的是引脚pin;然后在Virtuoso环境菜单中选择Create-Pin,这时会出来一个窗口。如图2:

填上端口的名称(Terminal Names 和Schematic中的名字一样)、模式(Mode,

一般选rectangle)、输入输出类型(I/O Type)等。至于Create Label属于可选择

图1DRC的窗口

图2创建版图端口窗口

项,选上后,端口的名称可以在版图中显示。这些端口仅表示连接关系,并不生

成加工用的掩模板,只要求与实际版图上的导线接触即可,没有规则可言。

后仿真

所谓后仿真(Post Layout simulation)是在Layout通过了DRC和LVS后才开始做的,通过模拟提取出来的网表可以精确的评估电路的速度,以及寄生参数带来的影响。后模拟的结果如果不能满足要求,那么就要重新调整器件参数甚至电路的形式。

后仿真的步骤如下:

1、将在LVS中所使用的Schematic文件,如driver生成它的symbol view,如图3所示。

2、调用上面生成的symbol,建立一个新的仿真用Schematic视图,Test如图4所示。调用Analog Artist并模拟这个线路,当然这样所得到的结果是理想波形。

3、进行版图提取Extractor,和LVS时的版图提取稍有不同,LVS版图提取时只要提取基本电路,而在这里还要同时提取寄生电阻和电容。设置情况见图5。

4、在Analog Artist中,重新设置,进行后模拟,具体设置方法如下:在Setup 菜单中选Environment项中查看Switch View List这一行表示的是模拟器要模拟的文件类型。默认的设置里面没有Extracted这个文件类型,要把它加进去。而且要加在schematic之前,如图6所示。

图4仿真用的schematic视图

图5Extractor的设置

图6 Environment选项的设置

经过以上设置,在调用前面建立的仿真用的test schematic就能够进行后仿真了。注意提取出来的extracted视图要与前仿真用的schematic视图在同一个目录下,后仿真才能正常进行。最终得到结果。

IC前端设计(逻辑设计)和后端设计(物理设计)的详细解析

IC前端设计(逻辑设计)和后端设计(物理设计)的详细解析IC前端设计(逻辑设计)和后端设计(物理设计)的区分: 以设计是否与工艺有关来区分二者;从设计程度上来讲,前端设计的结果就是得到了芯片的门级网表电路。 前端设计的流程及使用的EDA工具 1、架构的设计与验证 按照要求,对整体的设计划分模块。架构模型的仿真可以使用Synopsys公司的CoCentric 软件,它是基于System C的仿真工具。2、HDL设计输入 设计输入方法有:HDL语言(Verilog或VHDL)输入、电路图输入、状态转移图输入。使用的工具有:Active-HDL,而RTL分析检查工具有Synopsys的LEDA。3、前仿真工具(功能仿真) 初步验证设计是否满足规格要求。 使用的工具有:Synopsys的VCS,Mentor的ModelSim,Cadence的Verilog-XL,Cadence 的NC-Verilog。4、逻辑综合 将HDL语言转换成门级网表Netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准;逻辑综合需要指定基于的库,使用不同的综合库,在时序和面积上会有差异。逻辑综合之前的仿真为前仿真,之后的仿真为后仿真。 使用的工具有:Synopsys的Design Compiler,Cadence的PKS,Synplicity的Synplify等。 5、静态时序分析工具(STA) 在时序上,检查电路的建立时间(Setuptime)和保持时间(Hold time)是否有违例(Violation)。 使用的工具有:Synopsys的Prime Time。6、形式验证工具 在功能上,对综合后的网表进行验证。常用的就是等价性检查(Equivalence Check)方法,以功能验证后的HDL设计为参考,对比综合后的网表功能,他们是否在功能上存在等价性。这样做是为了保证在逻辑综合过程中没有改变原先HDL描述的电路功能。

集成电路IC设计完整流程详解及各个阶段工具简介

IC设计完整流程及工具 IC的设计过程可分为两个部分,分别为:前端设计(也称逻辑设计)和后端设计(也称物理设计),这两个部分并没有统一严格的界限,凡涉及到与工艺有关的设计可称为后端设计。 前端设计的主要流程: 1、规格制定 芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。 2、详细设计 Fabless根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。 3、HDL编码 使用硬件描述语言(VHDL,Verilog HDL,业界公司一般都是使用后者)将模块功能以代码来描述实现,也就是将实际的硬件电路功能通过HDL语言描述出来,形成RTL(寄存器传输级)代码。 4、仿真验证 仿真验证就是检验编码设计的正确性,检验的标准就是第一步制定的规格。看设计是否精确地满足了规格中的所有要求。规格是设计正确与否的黄金标准,一切违反,不符合规格要求的,就需要重新修改设计和编码。设计和仿真验证是反复迭代的过程,直到验证结果显示完全符合规格标准。仿真验证工具Mentor公司的Modelsim,Synopsys的VCS,还有Cadence的NC-Verilog均可以对RTL级的代码进行设计验证,该部分个人一般使用第一个-Modelsim。该部分称为前仿真,接下来逻辑部分综合之后再一次进行的仿真可称为后仿真。 5、逻辑综合――Design Compiler 仿真验证通过,进行逻辑综合。逻辑综合的结果就是把设计实现的HDL代码翻译成门级网表netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准。逻辑综合需要基于特定的综合库,不同的库中,门电路基

集成电路版图设计师职业标准(试行)

集成电路版图设计师职业标准(试行) 一.、职业概况 1.1 职业名称集成电路版图设计师 1.2 职业定义 通过EDA 设计工具,进行集成电路后端的版图设计和验证,最终产生送交供集成电路制造用的GDSII 数据。 1.3 职业等级本职业共设四个等级,分别是版图设计员(职业资格四级)、助理版图设计师(职业资格三级)、版图设计师(职业资格二级)、高级版图设计师(职业资格一级)。 1.4 职业环境条件室内、常温 1.5 职业能力特征具有良好的电脑使用基础与较强的外语阅读能力;具备一定的半导体微电子基础理论。具有很强的学习能力。 1.6 基本文化程度理工科高等专科学历。 1.7 培训要求 1.7.1 培训期限全日制职业学校教育:根据其培养目标和教学计划确定。晋级培训 期限:版图设计员不少于240 标准学时;助理版图设计师不少于 240 标准学时;版图设计师不少于200 标准学时;高级版图设计师不少于180标准学时。 1.8 鉴定要求 1.8.1 适用对象从事或准备从事集成电路版图设计的人员。 1.8.2 申报条件以上各等级申报条件均参照“关于职业技能鉴定申报条件的暂行规 定” 1.8.3 鉴定方式分为理论知识考试和技能操作考核。技能操作考核采用上机实际操作 方式, 由3- 5 名考评员组成考评小组,根据考生现场操作表现及实际操作输出结果,按统一标准评定得分。两项鉴定均采用100分制,皆达60 分及以上者为合格。 1.8.4 考评人员与考生 理论知识考试:平均15 名考生配一名考评员。技能操作考核:平均5-8 名考生配 1 名考评员。 1.8.5 鉴定时间 理论知识考试:设计员、助理设计师90 分钟,设计师、高级设计师120分钟。 技能操作考核:设计员、助理设计师90 分钟,设计师、高级设计师120分钟。 1.8.6 鉴定场地设备用于理论知识考试的标准教室;用于操作技能考试的场所:具有EDA 设计平台和网络教学系统等设备和软件,不少于20 个考位。

后端设计

ASIC/SoC后端设计作业流程剖析 Toshiba(美国) 秦晓凌 Trident(上海) 潘中平 关键词 place route DSM megacell clock_tree STA OPT ECO 引言众所周知,ASIC产品是从用硬件描述语言(verilog HDL,VHDL)开始进行数字逻辑电路设计的,经过相关的仿真、综合出门级网表、验证直至完成电路布局布线并优化,最终经流片成功形成的芯片产品。随着中国经济的持续稳定地增长,国内生产厂家对IC需求增长势头强劲与自身设计IC能力薄弱的突出矛盾已经被国家和企业认识。为了缓解这一矛盾并更多地实现IC自主设计,近两年国内陆续出现了一些著名的传统通信系统厂商设立的IC设计队伍,以及归国留学人员领头创办的创业型IC设计公司,他们大多数有相当强的前端设计能力,但在IC后端设计领域的实践经验还较欠缺。在完成前端逻辑设计综合出门级网表后,真正能做好后端设计的公司还不多,有的则通过委托设计服务的方式完成后端布局布线及流片。本文作者有多年从事覆盖前后端IC设计全流程并有每年几次成功流片数百万门级深亚微米SoC的经验,并担任IC设计的项目管理工作,对国外大公司的设计流程十分熟悉,并愿意就积累的经验与国内同行分享交流,以利于国内IC设计水平的提高。 本文着重介绍国内设计公司薄弱的后端设计,介绍其流程并对在设计过程中的关键步骤进行一些讨论。传统的后端设计流程指的是从门级网表(gate level netlist)开始的,根据设计要求的不同,后端流程可以分为扁平流程(flat flow)和层次化流程(hierarchy flow)两种,在深亚微米DSM(deep sub-micron)领域,又增加了布局加逻辑合成的前后端合二为一的扁平流程(flat flow)和分层流程(hierarchy flow)。我们首先介绍传统的两种后端流程。前后端合一的流程将作为另一个专题在以后讨论。 一、扁平流程(Flat flow)介绍最简单的后端设计是扁平(flat)流程,其整体流程图如后面图1所示,一般四百万门以下的设计均可使用这一流程。 芯片设计的最高境界是设计完成后一次性投片(Tape Out)成功,这一成功必须建立在正确的前端电路逻辑设计和科学合理及高效的后端布局布线上,要想获得最后的成功,设计阶段就来不得带有半点的侥幸心态,否则就算在电路功能上满足设计要求,在参数性能上的任何失误也是导致返工的重要因素。因此,后端设计阶段很难保证一遍成功,走几个来回是常事,要期望在最终投片时一次成功,就需要在设计阶段多下工夫。我们不妨给这些大循环起个名字,第一轮叫试验(trial),第二轮叫首次签收(first Sign Off), 第三轮叫最后签收(final Sign Off),每轮包括的前后端设计主要任务和结果如表一所示。不同的循环应该有不同的侧重点,为了节省时间,这些大循环还应该尽可能安排前后端设计同步进行。 表一 ASIC设计流程主要循环及任务 循环 前端设计 后端设计

后端设计技术

正向后端设计的一些技术 摘要:随着集成电路的规模日渐增大,传统的搭逻辑再手工设计版图来实现一个电路变得越来越困难.现在流行的ASIC设计流程是电路输入采用RTL的软件语言实现,版图输入用自动布局布线工具对综合工具生成的逻辑门和时序元件进行布局和连接.这样就需要后端设计人员对用工具来实现版图掌握一些影响电路性能的技术. 关键词:RTL 综合自动布局布线 1.引言 版图设计直接影响电路的延时、功耗等,一般正向设计一个完整的版图大概分以下三步:第一步,放置I/O单元,考虑好Memory的位置和电源线的通道,第二步,布局,生成时钟树,进行预布线和Setup Time时序分析和优化,第三步,布线,进行静态时序分析和优化,包括Power IR Drop分析和信号完整性(SI)分析.到0.18um及以下工艺,互连线的影响有可能影响电路的功能. 本文主要从布线技术和工艺影响方面总结一些正向设计版图时的注意事项. 2.电源网格(Power) 2.1电源线是芯片上最为普遍的信号,它要连接每一个门或模块并且要传输很大的电流,因此电源线要有合理的尺寸. 2.1.1当为一个设计规划版图时,首先要进行电源规划.电源线要放到模块的周围并通到模块.有一条原则就是,增加电源线的目的就是提供足够的电源供应整个芯片的电迁移要求和压降要求.宽的、短的电源线能够满足这两个目标;而大的电源线将消耗大的芯片面积.因此要折中考虑电源线的宽度和走线. 2.2随着IC技术的发展,内部电路的工作电压已经降低到1.2V,而且工作频率却增加了.一旦电源线没规划好,芯片内的某些电路将因电压原因而不工作. 2.2.1通常工艺线提供的标准电源库都会标明它的最低工作电压,我们在做电源线时一定要使得到达每个单元的电压都要高于这个最低电压.EDA工具里所要求的IR Drop的值就是我们的电源电压减去标准单元库的最低工作电压.现在深压微米的电源设计通常都设计成网格状来有效的降低IR Drop. 3.时钟信号(Clock) 3.1时钟信号是芯片上最重要且最普遍的动态信号,在每个设计中,大多数模块都是在同一个全局时钟信号的同步下工作.在整个芯片的布线中,全局时钟信号的布线应在电源信号之后进行.从根本上来说,实现时钟信号的目的是以最小的延迟把时钟信号分布在一个大的面积上,就是要是Clock的技术指标Clock Skew的值尽量最小.Clock Skew的值代表的是同一时钟信号在不同支路上的延迟差值. 3.1.1在自动布局布线工具中,为了实现好的时钟信号,就是采用时钟树的方案时钟树是插入在时钟信号路径上的缓冲器(Buffer)网络,它通过插入Buffer使时钟源和所有终端之间的延迟减小.这并不是优化电学信号通路,而是分开通路插入Buffer来使Delay最小.这样产生的网络和树有些相似,中心时钟信号的分支通过使用Buffer遍布整个芯片.现在随着工艺的深入,有的时钟树的形状也有做成鱼骨状的.下图显示了Buffer Tree的插入过程

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

数字集成电路 后端设计 APR流程 之Astro

Astro布局布线流程 随着深亚微米工艺的应用,逻辑门间的连线主导了电路的时序性能。在实际设计时,设计者不再只是完成简单的逻辑门摆放和连线工作,更重要的是要降低实际的连线延迟,使最后的物理设计时序性能满足设计要求。 Astro是由Synopsys公司开发的物理设计软件,适用于现在的主流设计。Astro提供了一套完整的物理设计流程,布局布线同时还具有时序优化功能,可以在一次流程中使设计电路达到预设指标。但Astro步骤繁多、设定复杂,本章将针对Astro的设计流程借助一个简单的设计实例,对Astro一次流程中的主要步骤进行简单说明,使读者短时间内可以了解Astro。 一.数据准备 本流程中需要的输入数据包括:网表文件(Netlist File)、时序约束SDC文件(Timing Constraint File)、参考库(Reference Library)、定义PAD TDF文件(Top Design File)、工艺tf文件(Technology File)。其中工艺文件和部分参考库文件由Foundry提供。 1.网表: 网表文件由前端工程师提供,格式一般采用verilog(.v)格式。布局布线用网表文件一般由Design Compiler(DC)综合得到。从DC中输出网表时,需要在脚本中如下命令,以满足Astro的要求。 2.时序约束文件: 时序约束文件由前端工程师提供,格式一般采用SDC(.sdc)格式,SDC文件也由DC中输出。 时序约束文件中所加的约束只能针对顶层端口,文件中时钟定义可能需要加以修改。因为从DC 中输出的时钟定义一般不指定具体的单元及其端口,Astro通常很难根据这样的时钟定义找到真正的 时钟源,因此需要先在网表中找到真正的时钟端口,并据此在SDC文件中加以修改。例如,原来的 SDC文件中时钟的定义片段为: 原来的时序约束文件是给予综合的DC用,而不是用来布局布线的。所以综合用的SDC文件中只给出了一个“产生时钟(create clock)”的命令,根据上面这段时序约束文件中的片段,Astro一般无 法找到clk_div/clk,因此需要将“get_pin”的目标修改替换为在网表中的一个实际的时钟产生的单元: clk_div/CK01D1/Z.。修改SDC片段结果如下:

IC设计后端流程(初学必看)

基本后端流程(漂流&雪拧) ----- 2010/7/3---2010/7/8 本教程将通过一个8*8的乘法器来进行一个从verilog代码到版图的整个流程(当然只是基本流程,因为真正一个大型的设计不是那么简单就完成的),此教程的目的就是为了让大家尽快了解数字IC设计的大概流程,为以后学习建立一个基础。此教程只是本人探索实验的结果,并不代表容都是正确的,只是为了说明大概的流程,里面一定还有很多未完善并且有错误的地方,我在今后的学习当中会对其逐一完善和修正。 此后端流程大致包括以下容: 1.逻辑综合(逻辑综合是干吗的就不用解释了把?) 2.设计的形式验证(工具formality) 形式验证就是功能验证,主要验证流程中的各个阶段的代码功能是否一致,包括综合前RTL 代码和综合后网表的验证,因为如今IC设计的规模越来越大,如果对门级网表进行动态仿真的话,会花费较长的时间(规模大的话甚至要数星期),这对于一个对时间要求严格(设计周期短)的asic设计来说是不可容忍的,而形式验证只用几小时即可完成一个大型的验证。另外,因为版图后做了时钟树综合,时钟树的插入意味着进入布图工具的原来的网表已经被修改了,所以有必要验证与原来的网表是逻辑等价的。 3.静态时序分析(STA),某种程度上来说,STA是ASIC设计中最重要的步骤,使用primetime 对整个设计布图前的静态时序分析,没有时序违规,则进入下一步,否则重新进行综合。 (PR后也需作signoff的时序分析) 4.使用cadence公司的SOCencounter对综合后的网表进行自动布局布线(APR) 5.自动布局以后得到具体的延时信息(sdf文件,由寄生RC和互联RC所组成)反标注到 网表,再做静态时序分析,与综合类似,静态时序分析是一个迭代的过程,它与芯片布局布线的联系非常紧密,这个操作通常是需要执行许多次才能满足时序需求,如果没违规,则进入下一步。 6.APR后的门级功能仿真(如果需要) 7.进行DRC和LVS,如果通过,则进入下一步。 8.用abstract对此8*8乘法器进行抽取,产生一个lef文件,相当于一个hard macro。 9.将此macro作为一个模块在另外一个top设计中进行调用。 10.设计一个新的ASIC,第二次设计,我们需要添加PAD,因为没有PAD,就不是一个完整的 芯片,具体操作下面会说。 11.重复第4到7步

(完整word版)集成电路的现状与发展趋势

集成电路的现状与发展趋势 1、国内外技术现状及发展趋势 目前,以集成电路为核心的电子信息产业超过了以汽车、石油、钢铁为代表的传统工业成为第一大产业,成为改造和拉动传统产业迈向数字时代的强大引擎和雄厚基石。1999年全球集成电路的销售额为1250亿美元,而以集成电路为核心的电子信息产业的世界贸易总额约占世界GNP的3%,现代经济发展的数据表明,每l~2元的集成电路产值,带动了10元左右电子工业产值的形成,进而带动了100元GDP的增长。目前,发达国家国民经济总产值增长部分的65%与集成电路相关;美国国防预算中的电子含量已占据了半壁江山(2001年为43.6%)。预计未来10年内,世界集成电路销售额将以年平均15%的速度增长,2010年将达到6000~8000亿美元。作为当今世界经济竞争的焦点,拥有自主版权的集成电路已曰益成为经济发展的命脉、社会进步的基础、国际竞争的筹码和国家安全的保障。 集成电路的集成度和产品性能每18个月增加一倍。据专家预测,今后20年左右,集成电路技术及其产品仍将遵循这一规律发展。集成电路最重要的生产过程包括:开发EDA(电子设计自动化)工具,利用EDA进行集成电路设计,根据设计结果在硅圆片上加工芯片(主要流程为薄膜制造、曝光和刻蚀),对加工完毕的芯片进行测试,为芯片进行封装,最后经应用开发将其装备到整机系统上与最终消费者见面。 20世纪80年代中期我国集成电路的加工水平为5微米,其后,经历了3、1、0.8、0.5、0.35微米的发展,目前达到了0.18 微米的水平,而当前国际水平为0.09微米(90纳米),我国与之相差约为2-3代。 (1)设计工具与设计方法。随着集成电路复杂程度的不断提高,单个芯片容纳器件的数量急剧增加,其设计工具也由最初的手工绘制转为计算机辅助设计(CAD),相应的设计工具根据市场需求迅速发展,出现了专门的EDA工具供应商。目前,EDA主要市场份额为美国的Cadence、Synopsys和Mentor等少数企业所垄断。中国华大集成电路设计中心是国内唯一一家EDA开发和产品供应商。 由于整机系统不断向轻、薄、小的方向发展,集成电路结构也由简单功能转向具备更多和更为复杂的功能,如彩电由5片机到3片机直到现在的单片机,手机用集成电路也经历了由多片到单片的变化。目前,SoC作为系统级集成电路,能在单一硅芯片上实现信号采集、转换、存储、处理和I/O等功能,将数字电路、存储器、MPU、MCU、DSP等集成在一块芯片上实现一个完整系统的功能。它的制造主要涉及深亚微米技术,特殊电路的工艺兼容技术,设计方法的研究,嵌入式IP核设计技术,测试策略和可测性技术,软硬件协同设计技术和安全保密技术。SoC以IP复用为基础,把已有优化的子系统甚至系统级模块纳入到新的系统设计之中,实现了集成电路设计能力的第4次飞跃。

集成电路后端设计服务合同

设计服务 合同 项目名称 xxxx项目的后端设计开发 委托方(甲方) 受托方(乙方) 签订日期: 有效期限:

根据《中华人民共和国技术合同法》的规定,合同双方就乙方协助甲方进行xxxx项目的后端设计开发事宜,经协商达成一致,确立本合同,以明确双方的权利、义务,确保合同双方共同执行。 【第一条】合同内容 1、合同内容:乙方协助甲方进行XXX项目的后端设计开发。 2、甲方通过XX网络连接方式向乙方提供设计开发环境。 3、本合同所涉及的全部知识产权归甲方所有,但双方另有约定的除外 【第二条】合同金额及付款方式 1、合同金额:合同含税价为每个月:人民币XXX元整,总金额按照实际发生月数累计计算。 2、付款方式:按月支付,即每月支付一次,直至合同终止。甲方在当月收到乙方开出的发票后 5个工作日内按照发票金额向乙方支付全款。 【第三条】双方责任: 1、乙方负责完成所甲方指定的后端设计开发任务。并负责协助甲方完成整个项目中相关的后端设计开发,同时协助甲方完成本项目的验收工作。 2、甲方负责提供进行Xxx项目后端设计开发所需要的工作环境和配套文件,配合协助设计开发和验收工作。

【第四条】合同终止: 1、根据甲乙双方的开发进度,由甲乙双方共同协商合同终止日期。 2、在开发完毕后,乙方提出验收申请,甲方要组织验收并签署验收报告,自乙方提出验收之日起 7日内甲方不组织验收或不签署验收报告视为验收合格。 【第五条】产品质量: 1、乙方所提供的设计开发服务应满足甲方要求,同时具有可靠性、安全性、稳定性和合法性。 2、乙方承诺提供的设计开发技术不会侵犯任何第三方的知识产权或引起任何第三方基于该产品知识产权的指控。如因甲方提供技术材料侵犯任何第三方知识产权或引起任何第三方基于该产品知识产权的指控,由甲方承担责任。 【第六条】保密协议: 甲乙双方任何一方在执行合同中知晓的一切有关对方的商业秘密,技术秘密负有保密义务,自本协议签订之日起五(5)年内未经对方书面许可,不得向协议之外的第三方(除双方需要知晓相关信息的雇员)披露本协议的条款及相关技术资料。 【第七条】违约责任

中国集成电路设计行业概况研究-行业概述

中国集成电路设计行业概况研究-行业概述 (一)行业概述 1、集成电路设计行业概况 集成电路系采用特种电路设计及加工工艺,集成于半导体晶片上的微型电子电路产品。集成电路相比传统的分立电路,通过降低体积减小材料耗用量,大幅降低了制造成本,同时,其微小的体积及元件的紧密排布提高了信息的切换速度并降低了能耗,使得集成电路比分立电路在成本及效率上均有较大的优势。自1958 年第一块集成电路于德州仪器问世以来,集成电路产品发展迅速,广泛用于各种电子产品,成为信息时代中不可或缺的部分。 伴随现代信息技术产业的快速发展,集成电路产业作为现代信息技术产业的基础和核心,已成为关系国民经济和社会发展全局的基础性、先导性和战略性产业,在推动国家经济发展、社会进步、提高人们生活水平以及保障国家安全等方面发挥着广泛而重要的作用,是当前国际竞争的焦点和衡量一个国家或地区现代化程度以及综合国力的重要标志之一。随着国内经济不断发展以及国家对集成电路行业的大力支持,中国集成电路产业快速发展,产业规模迅速扩大,技术水平显著提升,有力推动了国家信息化建设。 完整的集成电路产业链包括设计、芯片制造、封装测试等环节,各环节具有各自独特的技术体系及特点,已分别发展成独立、成熟的子行业。

其中,集成电路设计系根据终端市场的需求设计开发各类芯片产品,集成电路设计水平的高低决定了芯片的功能、性能及成本; 集成电路制造通过版图文件生产掩膜,并通过光刻、掺杂、溅射、刻蚀等过程,将掩膜上的电路图形复制到晶圆基片上,从而在晶圆基片上形成电路; 集成电路封装测试包括封装和测试两个环节,封装是保护芯片免受物理、化学等环境因素造成的损伤,增强芯片的散热性能,实现电气连接,确保电路正常工作;测试主要是对芯片产品的功能、性能测试等,将功能、性能不符合要求的产品筛选出来。 2、集成电路行业产品分类 集成电路产品依其功能,主要可分为模拟芯片(Analog IC)、存储器芯片(Memory IC)、微处理器芯片(Micro IC)、逻辑芯片(Logic IC)。 模拟芯片是处理连续性的光、声音、速度、温度等自然模拟信号,按技术类型可分为只处理模拟信号的线性芯片和同时处理模拟与数字信号的混合芯片;按应用分类可分为标准型模拟芯片和特殊应用型模拟芯片。标准型模拟芯片包括放大器、信号界面、数据转换、比较器等产品。特殊应用型模拟芯片主要应用于通

数字电路后端设计中的一些概念

天线效应:小尺寸的MO S管的栅极与很长的金属连线接在一起,在刻蚀过程中, 这根金属线有可能象 一根天线一样收集带电粒子, 升高电位, 而且可以击穿MO S管的栅氧化层, 造成器件的失效。这种失效是不可恢复的。不仅是金属连线, 有时候多晶硅也可以充当天线。 这里的导体面积A r e a m e t a l是指从 MO S管的输入端开始算起, 直至到达该回路最顶层金属线之下的所有金属互连线( N i ,j , i 为互连节点所属的金属层号,j 为金属层上的互连节点编号) 的面积总和。在这些金属互连线上将会累积电荷并导致输入端MO S管栅氧化层出现可能被击穿的潜在危险。而顶层金属线之下连至输出端晶体管栅极的金属线并不会被计算在内, 这是因为在芯片的制造过程中其上多余的游离电荷可以通过低阻的输出端MO S管顺畅泻放。同理,顶层金属线也不会对A R的值做出任何贡献, 因其最后被刻蚀完成的同时, 就标志着从输入MO S管到输出MO S管的通路正式形成, 多余的电荷此时全部可以通过输出端得到泻放。栅氧化层面积A r e a g a t e 则是指各个输入端口所连接到的不同晶体管( G K ) 的栅氧化层的面积总和。以图1所 EM(电迁移):电迁移是指金属材料中存在大电流的情况下,金属离子在电 流作用下出现宏观移动的现象,日常生活中的家用电线等金属导线由于没有良好

的散热能力,稍大的电流强度就会导致保险丝熔断而断路,因而从不出现电迁移现象。集成电路芯片中的金属连线则不同:它们有良好的散热环境,通常能够承受高达105A/cm2(约为普通家用电线承受极限的100倍)以上的电流强度和由此导致的大约1000C的高温。在高温下,金属离子变得“活泼”了,大量电子的猛烈撞击就很容易推动它们发生宏观迁移,这种迁移现象是电流造成的,因而称为电迁移。在集成电路芯片中出现电迁移时,金属离子会在阳极附近堆积,严重时会形成小丘或突起,同时,在阴极附近的导线内出现空洞,见下图: 一一个芯片从开始正常工作到发生互连线电迁移失效为止的时间段称为其电迁移寿命。制造出电迁移寿命不低于相关标准的金属互连线是芯片制造业的基本要求。 IR drop : 电压降是指出现在电源网络上的电压下降或地线网络上的地线 反弹的一种现象。通常会假设在芯片内的电源为理想电源,它能在瞬间给芯片上的所有单元(包括宏单元)提供足够大的电流从而使芯片上的电压保持为统一的 值。实际上,由于金属连线的宽度越来越窄,导致它的电阻值上升,所以在整个

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

2019年集成电路设计行业发展概况

2019年集成电路设计行业发展概况 (1)集成电路行业 集成电路(Integrated Circuit, IC)是指经过特种电路设计,利用集成电路加工工艺,集成于一小块半导体(如硅、锗等)晶片上的一组微型电子电路。集成电路具有体积小、重量轻、寿命长、可靠性高、性能好、成本低、便于大规模生产等优点,不仅在工、民用电子设备如智能手机、电视机、计算机、汽车等方面得到广泛的应用,同时在军事、通讯、遥控等方面也不可或缺。 集成电路按应用领域的不同大致分为标准通用集成电路和专用集成电路。其中,标准通用集成电路是指应用领域比较广泛、标准型的通用电路,如存储器、微处理器(MPU)及微控制器(MCU)等;专用集成电路是指为某一领域或某一专门用途而设计的电路,如智能终端芯片、网络通信芯片、数模混合芯片、信息安全芯片、数字电视芯片、射频识别芯片(RFID)、传感器芯片等。 集成电路产业是国民经济中基础性、关键性和战略性的产业,是“中国制造2025”强国战略、国家创新驱动发展战略的重点发展领域。作为现代信息产业的基础和核心产业之一,在保障国家安全等方面发挥着重要的作用,是衡量一个国家或地区现代化程度以及综合国力的重要标志。集成电路一直以来占据半导体产品80%的销售额,业务规模远远超过半导体中分立器件、光电子器件和传感器三大细分领域,长期以来占据着行业大部分市场规模,具备广阔的市场空间,近年来呈现出快速增长的态势。

国内集成电路行业在需求、政策的驱动下迅速扩张。根据中国半导体行业协会统计,2018年中国集成电路行业销售额达到6,532亿元,同比增长20.7%,2014年至2018年的复合年均增长率达21.3%。需求方面,高速发展的计算机、网络通信、消费电子构成了国内集成电路行业下游应用领域的主要部分。在工业市场,传统产业的转型升级,大型、复杂化的自动化、智能化工业设备出现,加速了芯片需求的提升;在消费类市场,智能手机、平板电脑等消费类电子的需求带动相关芯片行业爆发式增长;此外,汽车电子、智能家居场景等拓展了芯片的应用领域。政策方面,政府先后出台了一系列针对集成电路行业的法律法规和产业政策规范行业发展秩序,同时通过企业投资、设立行业投资基金的形式为行业发展提供资本帮助,推动了该行业的发展壮大。

集成电路IC设计完整流程详解及各个阶段工具简介

I C设计完整流程及工具 IC的设计过程可分为两个部分,分别为:前端设计(也称逻辑设计)和后端设计(也称物理设计),这两个部分并没有统一严格的界限,凡涉及到与工艺有关的设计可称为后端设计。 前端设计的主要流程: 1、规格制定 芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。 2、详细设计 Fabless根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。 3、HDL编码 使用硬件描述语言(VHDL,Verilog HDL,业界公司一般都是使用后者)将模块功能以代码来描述实现,也就是将实际的硬件电路功能通过HDL语言描述出来,形成RTL (寄存器传输级)代码。 4、仿真验证 仿真验证就是检验编码设计的正确性,检验的标准就是第一步制定的规格。看设计是否精确地满足了规格中的所有要求。规格是设计正确与否的黄金标准,一切违反,不符合规格要求的,就需要重新修改设计和编码。设计和仿真验证是反复迭代的过程,直到验证结果显示完全符合规格标准。仿真验证工具Mentor公司的Modelsim,Synopsys 的VCS,还有Cadence的NC-Verilog均可以对RTL级的代码进行设计验证,该部分个人一般使用第一个-Modelsim。该部分称为前仿真,接下来逻辑部分综合之后再一次进行的仿真可称为后仿真。 5、逻辑综合――Design Compiler 仿真验证通过,进行逻辑综合。逻辑综合的结果就是把设计实现的HDL代码翻译成门级网表netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准。逻辑综合需要基于特定的综合库,不同的库中,门电路基本标准单元(standard cell)的面积,时序参数是不一样的。所以,选用的综合库不一样,综合出来的电路在时序,面积上是有差异的。一般来说,综合完成后需要再次做仿真验证(这

集成电路设计基础复习要点

集成电路设计基础复习要点 第一章集成电路设计概述 1、哪一年在哪儿发明了晶体管?发明人哪一年获得了诺贝尔奖? 2、世界上第一片集成电路是哪一年在哪儿制造出来的?发明人哪一 年为此获得诺贝尔奖? 3、什么是晶圆?晶圆的材料是什么? 4、晶圆的度量单位是什么?当前主流晶圆尺寸是多少?目前最大晶 圆尺寸是多少? 5、摩尔是哪个公司的创始人?什么是摩尔定律? 6、什么是SoC?英文全拼是什么? 7、说出Foundry、Fabless和Chipless的中文含义。 8、什么是集成电路的一体化(IDM)实现模式? 9、什么是集成电路的无生产线(Fabless)设计模式? 10、目前集成电路技术发展的一个重要特征是什么? 11、一个工艺设计文件(PDK)包含哪些内容? 12、什么叫“流片”? 13、什么叫多项目晶圆(MPW) ?MPW英文全拼是什么? 14、集成电路设计需要哪些知识范围? 15、著名的集成电路分析程序是什么?有哪些著名公司开发了集成电 路设计工具?

16、SSI、MSI、LSI、VLSI、ULDI的中文含义是什么?英文全拼是 什么?每个对应产品芯片上大约有多少晶体管数目? 17、国内近几年成立的集成电路代工厂家或转向为代工的厂家主要有 哪些? 18、境外主要代工厂家和主导工艺有哪些? 第二章集成电路材料、结构与理论 1、电子系统特别是微电子系统应用的材料有哪些? 2、常用的半导体材料有哪些? 3、半导体材料得到广泛应用的原因是什么? 4、为什么市场上90%的IC产品都是基于Si工艺的? 5、砷化镓(GaAs) 和其它III/V族化合物器件的主要特点是什么? 6、GaAs晶体管最高工作频率f T可达多少?最快的Si晶体管能达到多 少? 7、GaAs集成电路主要有几种有源器件? 8、为什么说InP适合做发光器件和OEIC? 9、IC系统中常用的几种绝缘材料是什么? 10、什么是欧姆接触和肖特基接触? 11、多晶硅有什么特点? 12、什么是材料系统?

集成电路设计基础

集成电路设计基础复习提纲 一EDA常用命令 ls 显示当前目录下的文件和路径。Pwd显示当前文件的绝对路径.。Cd进入指定目录。More显示文件内容。Cp拷贝。Mkdir创建目录。tar 打包。zip压缩。unzip解压。ftp传送文件。 二基本概念 1版图设计 CIW命令解释窗口, Library 库,Reference Library相关库, Library Path库路径,Cell单元,View视图,Techfiler.tf工艺文件, cds.lib库管理文件, techfile.cds ASCII 文件,LSW图层选择窗口,display.drf图层显示文件。LayerPurpose Pair层次用途配对,Cellview Attributes and Properties单元视图属性,Instance单元,Snap Mode 光标按钮画线条或图形的模型。Stream。数据流(一个标准数据格式用在cad系统间传递物理设计数据) parameterized cells,参数化单元。Flatten,打平 设计方法 1 CIC设计流程 ①设计规划。②建库。③原理图输入。④电路仿真。⑤单元模块版图。⑥TOP 版图。⑦验证。⑧输出GDSII。⑨制掩膜。⑩流片封装测试。 2CIC建库的步骤,工艺文件和显示文件的使用。 建库进入设计项目所在的文件夹,打开名利窗口输入icfb,在ciw菜单栏中选择file-creat-creat new library,选择要连接的Techfiler.tf或者选择相应库作为链接库,后根据指示完成余下的操作 工艺文件p1-40说明图层连接,等效连接,不可被重叠,自动布线,设计规则等情况 ciw-technology-file-dump ,design,layout definations,ascll 命名.Tf,ok;/techpurposes /techlayers;/techdisplays;/techlayerpurposepriorities(图层目的优先);:q!(保存退出):wq!(写后保存退出);/ptap File-load 显示文件的使用:在显示资源编辑窗口里编辑并保存(display。drf)长期有效 添加新包,先编辑显示文件再在显示资源编辑窗口里编辑其填充等;file—save;tools-display resources-mergefile;分配图层目的配对。 3单元版图绘图方法及编辑基本方法, 新建,根据设计要求选择图层用不同的绘图命令绘制和按参数编辑、连接,测试4绘图及编辑常用命令的使用: Create— Rectangle 。create-rectangle left点拉升点 Instance、create-instance(名字不可改)填写库cell view 坐标等 Path、create-path 1点2点+回车/双击 Pcell、edit-hierarchy(分层)-make cell 填写,画长方形区域,ok Polygon、create- Polygon(F3),选择图层,点,点等,回车 Conics create-arc,点,点,点回车

相关主题
文本预览
相关文档 最新文档