当前位置:文档之家› IC前端设计和后端设计

IC前端设计和后端设计

IC前端设计和后端设计
IC前端设计和后端设计

标签:无标签

什么是IC前端设计和后端设计?区别有是什么?

1,前端主要负责逻辑实现,通常是使用verilog/VHDL之类语言,进行行为级的描述。而后端,主要负责将前端的设计变成真正的schematic&layout,流片,量产。打个比喻来说,前端就像是做蓝图的,可以功能性,结构性的东西。而后端则是将蓝图变成真正的高楼。2,前端设计主要是进行功能设计,代码的编写,要会使用硬件描述语言,也就是上面有提到的verilog/VHDL等,当然,也会要使用一些仿真软件。后端设计需要的则会更加多一些了,包括综合,到P&R,以及最后的STA,这些工具里candence和synopsys都有一整套系统的。有关心的可以去他们的网站看看。3,其实前端和后端对于编程没有特别的要求。前端的设计会需要使用硬件描述语言来写代码,但是,需要注意的是,这里指的是"描述",而不像是C或者java之类的强调编程技巧啊什么的。所以,这个选择就看你自己了,而与编程没有什么特别的关系了。

后端設計主要要求哪些技能呢?譬如在ic layout過程中要求那些軟件呢?:

包括综合,到P&R,以及最后的STA,这些是我上面的提到的,各个公司根据需要,还会有不同的其它的要求。另外,我不是特别清楚你指的"ic layout"是什么概念,P&R的话有candence soc-encounter /synopsys Astro,手工的话,有candence virtuoso。jasonxia前端设计除了要会verilog/VHDL之外,还有什么要求呢?我本身是做后端的,所以,对于前端的要求也不是特别的清楚。根据我的认识,前端会分为设计部和验证部,设计部更加的注重算法、工作原理等方面,毕竟用verilog实现起来并不困难。而验证部就是保证设计的正确性。至于具体有什么样的要求,我也就不是特别的清楚了。

主要职责:

1. 负责芯片设计项目中数字前端设计开发工作,包括RTL设计、RTL验证、形式验证、RTL综合、时序验证、DFT/ATPG等工作,实现芯片功能、性能要求;

2.和团队协同,及时反馈信息。

任职要求:

1.电子工程、微电子或相关专业本科以上,具有1年以上工作经验,;

2.精通Verilog,Tcl,C,Perl等设计语言;

3.熟悉数字前端设计流程,包括RTL coding,Synthesis,DFT/ATPG,Formal Verification,STA;

4.能熟练使用Synopsys Cadence 相关EDA工具软件

6.具有一定的模拟电路基础,有数模混合电路设计经验更佳

7.有团队合作精神,进取心,责任心,能很快加入现有团队

IC设计的前端和后端收藏

IBM工程师培训的时候,讲到了一个IC设计前端、后端的概念,虽然我们参赛的内容主要是做应用,但面临读研方向的选择,还是到网上找了点资料,了解了一下。

在EDNChina论坛上有一篇帖子:什么是IC前端设计和后端设计?区别有是什么? https://www.doczj.com/doc/b76100049.html,/?url=http%3A//https://www.doczj.com/doc/b76100049.html,/ShowTopic.aspx%3Fid%3D6456%26p age%3D2

问题:我是刚刚接触这方面不久,所以迫切想了解一下: 1.什么是大家常的IC前端设计和后端设计?他们之间的区别是什么? 2.做前端设计和后端设计需要掌握哪些最基本的工具和知识呢?比如多手机或者其他娱乐型电子产品上的IC设计. 3.对于不太精通编程,但对数字和模拟电路有一定基础的人是适合做前端,还是后端呢?

整理的回帖如下:

首先,我不算是高人,不过前,后端都有接触,我就大概回答一下吧,有说的不对的地方,请高人指正。1,前端主要负责逻辑实现,通常是使用verilog/VHDL之类语言,进行行为级的描述。而后端,主要负责将前端的设计变成真正的schematic&layout,流片,量产。打个比喻来说,前端就像是做蓝图的,可以功能性,结构性的东西。而后端则是将蓝图变成真正的高楼。2,前端设计主要是进行功能设计,代码的编写,要会使用硬件描述语言,也就是上面有提到的verilog/VHDL等,当然,也会要使用一些仿真软件。后端设计需要的则会更加多一些了,包括综合,到P&R,以及最后的STA,这些工具里candence和synopsys 都有一整套系统的。有关心的可以去他们的网站看看。3,其实前端和后端对于编程没有特别的要求。前端的设计会需要使用硬件描述语言来写代码,但是,需要注意的是,这里指的是"描述",而不像是C或者java之类的强调编程技巧啊什么的。所以,这个选择就看你自己了,而与编程没有什么特别的关系了。

glclub 后端設計主要要求哪些技能呢?譬如在ic layout過程中要求那些軟件呢?:包括综合,到P&R,以及最后的STA ,这些是我上面的提到的,各个公司根据需要,还会有不同的其它的要求。另外,我不是特别清楚你指的"ic layout"是什么概念,P&R的话有candence soc-encounter /synopsys Astro,手工的话,有candence virtuoso。jasonxia 前端设计除了要会verilog/VHDL之外,还有什么要求呢?我本身是做后端的,所以,对于前端的要求也不是特别的清楚。根据我的认识,前端会分为设计部和验证部,设计部更加的注重算法、工作原理等方面,毕竟用verilog实现起来并不困难。而验证部就是保证设计的正确性。至于具体有什么样的要求,我也就不是特别的清楚了。

做一点补充:(如有错误,不吝赐教)

一般来说,可以将版图实现前的所有设计都认为是前端设计,应该包括系统级设计,行为级设计,RTL级设计和晶体管级设计(好像不全);

后端设计是将晶体管级设计在版图上实现,数字前端设计一般都做到RTL级,是因为其后的前端设计步骤一般已经由fundry完成,RTL级已经可以使用现成的由MOS构成的功能单

元了(IP是一个新的发展)。

而对于analog的前端设计,则一般要完成到MOS级别,才能算完成前端设计。

前端可能用到的软件由于设计层次不同,类别很多:如HSPICE,synopsys的DC,VCS,cadence的spectre,所用到的语言有verilog, VHDL, System C, Verilog A 等

而后端设计就是用MOS完成版图(analog),或用MOS构成的单元来组合完成版图(digital)。常用工具有L-EDIT,Cadence的se, virtuso等

IC前端设计指逻辑设计;

IC后端设计指物理设计。

前端:

就是将你的想法或别人的想法用你设计的电路来实现,也就是说你可以通过电路设计来实现你的想法。可以这样说,那时你就是一个科学家。有人这样认为:一个好的前端IC 设计师不应该叫设计师而应该叫科学家。

后端:

就是将你设计的电路制造出来,要在工艺上实现你的想法。

完全同意斑竹的观点,再做一点点补充,供大家参考。

除了RTL编程和仿真这两个基本要求外,前端设计还可以包括IC系统设计、验证(verification)、综合、STA、逻辑等值验证(equivalence check)。其中IC系统设计最难掌握,它需要多年的IC设计经验和熟悉那个应用领域,就像软件行业的系统架构设计一样,而RTL 编程和软件编程相当。适合作为IC设计的入门。

还有一些即可以属于前端也可以属于后端的灰色领域,比如DFT(design for test)

后端设计简单说是P&R,但是包括的东西不少,像芯片封装和管脚设计,floorplan,电源布线和功率验证,线间干扰的预防和修正,时序收敛,STA,DRC,LVS等,要求掌握和熟悉多种EDA工具以及IC生产厂家的具体要求。要达到jeze的程度,没5年时间不行。

版主,encounter的Ameba place效果似乎比不上synopsy的PhC place

encounter的nanoroute似乎也算不上什么特别了不起的工具。

最近听说有家公司有个最新的工具,他们自称其工具要超前encounter两年,尤其在Timing 上面信心十足。

可是我得不到这个新工具的资料,你能给提供一下吗。叫什么玛古玛。

深有同感,经过5年以上时间的比较,我们公司的评分是

(1) Magma

(2) Synopsys

(3) Cadence

以下只是个人和本公司的评价,不一定十分全面,仅供参考。

Synopsys:

优点:

在完成设计所花费的时间、代价和质量上比较平衡,不是最好,但绝对不坏。

拥有一些久经考验无人可比的软件。

缺点:

Physical-Compiler和Astro的整合上不够好,毕竟它是由一个前端设计EDA公司通过并购Avanti扩展到后端来的。

Cadence:

优点:拥有一批非常优秀的EDA软件,如:RTL Compiler, Encounter, Nano route, CeltIc等(只限于单独使用)。

缺点:

虽然是老牌后端设计公司,可是现在的支柱产品都是最近几年买来的,自己以前的东西剩下的不多了。上述产品的整合是个大问题。现在的产品不擅长于复杂时序的收敛。

Magma:

优点:最近5年异军突起的一家EDA公司,拥有一套自己独特的算法和漂亮好用的GUI,在复杂时序的收敛上异常优异。

缺点:附带产品不够全面,价钱高

我们的作法是取各个公司最好的部分,自己整合出一套后端设计平台。

比如:Synopsys Design-Compiler, DFT-Compiler, PrimeTime + Magma BlastFusion (Place&route) + Cadence QX, LEC, CeltIc + Mentor Calibre

另外还有一篇IC设计高手进阶之路的文章,觉得不错,也收过来了。

随着中国IC设计产业渐入佳境,越来越多的工程师加入到这个新兴产业中。从一个初学者成长到主持大型设计的IC设计专家,这是每个IC设计工程师的理想。在这个新兴的领域里,IC设计工程师需要领路的"师傅",但是没有"师傅",该怎么提高?近日,电子工程专辑网站邀请到深圳国微技术有限公司系统总监孙建宁先生担任《如何成为IC设计高手?》论坛的嘉宾与工程师交流成长心得,论坛中的一些观点颇有参考价值。

一、学习、积累、交流-IC设计高手的成长之路

如何成为IC设计高手?如何提高自己的设计能力?孙建宁先生提出首先要学习,作为初学者,需要了解的是IC设计的基本流程。应该做到以下几点:基本清楚系统、前端、后端设计和验证的过程,IC设计同半导体物理、通信或多媒体系统设计之间的关系,了解数字电路、混合信号的基本设计过程,弄清楚ASIC,COT这些基本的行业模式。他认为这对于培养兴趣,建立自己未来的技术生涯规划是十分重要的。

另外,在向高手迈进的过程中,积累和交流也是很重要的。积累指要学习借鉴一些经典设计,而通过访真细细观察这些经典设计的细节,既有收益,也会有乐趣。在交流方面,要重视同前端或系统的交流,深刻理解设计的约束条件。作为初学者,往往不太清楚系统,除了通过设计文档和会议交流来理解自己的设计任务规范,同系统和前端的沟通是IC设计必不可少的。所谓设计技巧,都是在明了约束条件的基础上而言的,系统或前端的设计工程师,往往能够给初学者很多指导性的意见。

当然还要重视同后端和加工线的交流,IC设计者还应该主动地同设计环节的上下游,如后端设计服务或加工服务的工程师,工艺工程师进行主动沟通和学习。对于初学者来说,后端加工厂家往往能够为他们带来一些经典的基本理念,一些不能犯的错误等基本戒条。而同行之间的对提高水平也是十分有益的。通过同行之间的交流,还可以发现环境对于IC设计水平的重要影响。

此外,他还建议要重视验证和测试,做一个"偏执狂",他认为:对验证的重视和深刻理解,是一个IC设计者能否经受压力和享受成功十分关键的部分。由于流片的机会相对不多,因此找机会更多地参与和理解测试,对产品成功和失败的认真总结与分析,是一个IC设计者成长的必经之路。

二、做反向设计可以成为高手吗?

论坛中很多工程师提到现在国内一些IC公司在做反向设计,读者刘宇认为反向设计虽给我们带了一定的经济利益,但同时带来无数重复的劳动。这种劳动既无经验积累又无人才积累,也扼杀了创造力。他认为:反向设计是IC设计者的泥潭,需要坚决反对反向设计,以避免更多的IC设计人才陷入其中。对此孙建宁表示:"仅从技术角度看,做反向设计的过程中,也是有许多学习机会的。如果你对别人设计的电路能有一定程度的了解,就会从中学到一些很有用的想法。对你做正向设计也会有所帮助。"他认为如果仅仅是照抄不做任何分析那反向设计也许有点"吸毒"的味道,他强调反向设计使工程师不易成为具备某一专长的设计人才。读者"lvyaoming"也认为在做反向设计时,关键要理解别人的设计,这是成为一个高手的捷径,可以避免走很多弯路。读者"Frank Liu"认为:现阶段我国大学IC专业的教学中,理论性东西太多,需要在实践中获得经验。

三、专业技能和实践铸就IC设计高手

在提高专业技能方面,孙建宁认为IC设计发展的趋势是越来越复杂和分工高度明细,有志于此的话,首先是了解设计的流程和分工、自己的特点和喜好,至于以后的发展,当因人而异。参与论坛的工程师还就成长为高手谈了许多个人观点,读者"马超"认为:我觉得成为高手还是离不开博学、审问、慎思、笃行,还要耐得住寂寞,在一行一干就几十年,肯定成为高手了。读者"Target"认为:不要以为跳槽就可以学到很多东西,只有多做项目,还要是项目主要设计师,比如作系统、算法设计的,才能真正学到东西,而不是成为一个熟练工。读者"cathy"建议:想成为高手得去大公司做,因为是站在巨人肩膀上,你的每一个想法和设计都会得到与具体事实相符的数据和彻底的验证。

很多工程师都认同通过实践成长为高手的做法,读者"世纪芯"强调:在我看来,高手都是

通过反复的实践练成的。也就是说通过做大量的项目,在实践中不断学习和提高自己的技能。课堂是培养不出高手的,高手是在工程中练成的!

专家观点:学习、积累、交流-IC设计高手的成长之路

孙建宁先生

职务:深圳国微技术有限公司系统总监

如何成为IC设计高手?如何提高自己的设计能力?自己的感受是,IC设计不同于一般的板级电子设计,由于流片的投资更大,复杂度更高,系统性更强,所以学习起来也有些更有意思的地方。这里就斗胆跳过基本电子知识的方面,单就一些特别的地方来表达一下个体的感受。

首先,作为初学者,需要了解的是IC设计的基本流程。应该做到以下几点:基本清楚系统、前端、后端设计和验证的过程,IC设计同半导体物理、通信或多媒体系统设计之间的关系,了解数字电路、混合信号的基本设计过程,弄清楚ASIC,COT这些基本的行业模式。窃以为这点对于培养兴趣,建立自己未来的技术生涯规划是十分重要的。学习基本的设计知识,建议读一下台湾CIC的一些设计教材,很多都是经典的总结。

EDA技术的学习:对于IC设计者来说,EDA工具意义重大,透过EDA工具商的推介,能够了解到新的设计理念。国内不少IC设计者,是单纯从EDA的角度被带入IC设计领域的,也有很多的设计者在没有接触到深亚微米工艺的时候,也是通过EDA厂家的推广培训建立基本概念。同时,对一些高难度的设计,识别和选择工具也是十分重要的。

如果你希望有较高的设计水平,积累经验是一个必需的过程。经验积累的效率是有可能提高的。以下几点可以参考:

1、学习借鉴一些经典设计,其中的许多细节是使你的设计成为产品时必需注意的。有些可能是为了适应工艺参数的变化,有些可能是为了加速开关过程,有些可能是为了保证系统的稳定性等。通过访真细细观察这些细节,既有收益,也会有乐趣。项目组之间,尤其是项目组成员之间经常交流,可避免犯同样错误。

2、查文献资料是一个好方法。同"老师傅"一同做项目积累经验也较快。如果有机会参加一些有很好设计背景的人做的培训,最好是互动式的,也会有较好的收获。

3、当你初步完成一项设计的时侯,应当做几项检查:了解芯片生产厂的工艺, 器件模型参数的变化,并据此确定进行参数扫描仿真的范围。了解所设计产品的实际使用环境,正确设置系统仿真的输入条件及负载模型。严格执行设计规则和流程对减少设计错误也很有帮助。

4、另外,你需要知识的交流,要重视同前端或系统的交流,深刻理解设计的约束条件。作为初学者,往往不太清楚系统,除了通过设计文档和会议交流来理解自己的设计任务规范,同系统和前端的沟通是IC设计必不可少的。所谓设计技巧,都是在明了约束条件的基础上而言的,系统或前端的设计工程师,往往能够给初学者很多指导性的意见。

5、重视同后端和加工线的交流:IC设计的复杂度太高,除了借助EDA工具商的主动推介

来建立概念之外,IC设计者还应该主动地同设计环节的上下游,如后端设计服务或加工服务的工程师,工艺工程师之间进行主动沟通和学习。对于初学者来说,后端加工厂家往往能够为他们带来一些经典的基本理念,一些不能犯的错误等基本戒条。一些好的后端服务公司,不仅能提供十分严格的Design Kit,还能够给出混合信号设计方面十分有益的指导,帮助初学者走好起步之路。加工方面的知识,对于IC设计的"产品化"更是十分关键。

6、重视验证和测试,做一个"偏执狂":IC设计的风险比板级电子设计来的更大,因此试验的机会十分宝贵,"偏执狂"的精神,对IC设计的成功来说十分关键。除了依靠公司成熟的设计环境,Design Kit和体制的规范来保证成功之外,对验证的重视和深刻理解,是一个IC 设计者能否经受压力和享受成功十分关键的部分。由于流片的机会相对不多,因此找机会更多地参与和理解测试,对产品成功和失败的认真总结与分析,是一个IC设计者成长的必经之路。

同行交流以及工作环境的重要性:IC设计的复杂性和技术的快速发展,使得同行之间的交流十分关键,多参与一些适合自己水平的讨论组和行业会议,对提高水平也是十分有益的。通过同行之间的交流,还可以发现环境对于IC设计水平的重要影响。公司的财力,产品的方向,项目的难度,很大程度上能够影响到一个设计者能够达到的最高水平。

辩证地认识自己的技术提高和环境之间的相互关系,将是国内的设计者在一定的阶段会遇到的问题

本文来自CSDN博客,转载请标明出处:https://www.doczj.com/doc/b76100049.html,/aganpro/archive/2009/09/01/4506538.aspx

IC设计基础(流程、工艺、版图、器件)-笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目) 15、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?(仕兰微面试题 目) 16、请描述一下国内的工艺现状。(仕兰微面试题目)

IC设计的一些事情

当你坐在计算机旁工作或在网上冲浪,当你打开电视机欣赏节目,当你在川流不息的人群中拿起无绳电话,当你的VCD或DVD正在播放惊心动魄的hoolywood 电影......你可知道在这些和我们的生活悉悉相关的IC设计者(大规模集成电路)在默默的工作。 个人电脑、因特网、无绳电话、天气预报、模拟战争、空中预警、导弹卫星......几乎所有的新名词都和IC密切相关。IC工业的成就和未来正引起人类社会新的变革。当比尔.盖茨在condex大会上为我们描绘如诗般的internet生活;当intel和amd宣布里程碑式的1G处理器;你是否了解为致力于创造和改变人们生活方式的IC设计工程师是如何把我们的每一个梦想变成现实? 笔者愿以一个普通设计人员的身份帮你撩开IC设计的神秘面纱。 1,项目和课题; (1)Herbert Kroemer说过这样的名言:“任何一种新的并具创造性的技术的应用原理总是,也一直都是,因为这种技术所创造的应用。” 设计IC的唯一目的就是为了满足某种需求,譬如CPU和DRAM是为了计算机而存在;而80C51系列单片机就是因为很多的工控应用而蓬勃发展,而象mpeg1,mpeg2,mp3解码器这些专用电路更是目的明确。因此IC设计项目总是和应用密切相关。不要盯住无用的“新技术”而投入过份的精力。早在voodoo之前Nviria公司就创造了曲面帖图技术,但这种技术太超前了,以致它现在都是不切实际的幻想。然而任何IC开发计划又都必须具有前瞻性,只是这种前瞻性必须是也只能是:当芯片在制造厂流片成功时正是它所对应的技术即将或大量应用时。 (2)在IC设计行业,“时间就是金钱”是永远不变的铁律。 没有那个公司会做过时的IC,再傻的老板都不会在现在把开发mpeg1或10M以太网芯片做为自己的目标,因为技术和应用发展的方向正在淘汰他们,一切不和时宜和不具前瞻性的项目都不具吸引力。我所在的term就将千兆以太网芯片作为自己的努力方向,因为它比现在正流行的传输率快一个阶段。随千兆以太网标准的推出,未来的局域网应用一定会是千兆的天下,这称为技术贮备。NVIDIA公司在推出TNT2时早在研发NV20。符合技术发展潮流和应用规律的项目是保证投资回报和团队生存的基本要求。 (3)“没有人愿意和巨人打架”,syrex和IDT的失败正是这句话的真实印证。任何产品目标都必须是切实可行符合业界规范的。一个小的刚刚涉足IC设计的trem将CPU设计作为自己的目标无疑是可笑和毫无意义的。他必须了解自己的研发能力可以达到什么样的程度,这包括了项目带头人的能力和技术专长,包括了整个团队的开发经验等等。在IC设计中,最讲究的就是要“专”,不要什么都想干,往往什么都干不成。比如一个在网络开发方面有经验的TERM没必要选择开发单片机,最可能的是他会开发网路产品而在需要用单片机或DSP作为microcontroller时去买nation semiconducter或TI的芯核(我们所属的TMI公司就是这样);我们在开发USB芯片的过程中,从来不把host controller作为自己的目标,因为作为一个在国内的刚刚组建的IC design term,我们根本没有技术,经验和能力去和nec、philips、intel或、nation semiconductor比较。即使我们研发的USB1.1标准的芯核也只可以作为usb接口的以太网卡的一部分来使用,而不是作为一款单独的产品; 众所周知曾经有中国的SVCD规范出台,SVCD的最终失败正是因为它不符合国际标准;符合标准是IC设计的前提,计算机产业的迅速发展正是因为它的标准化。对标准的兼容性是一片IC是否可以被市场认可的关键。VIA正是因为intel在很多技术上的专利而不得不收购S3、syrex等公司来换取技术专利交换协议以保持和intel处理器的兼容性。另外,一个研发团队对标准的掌握程度和速度直接决定产品在市场中的成败。我们在开发USB接口的100M以太网卡芯片的过程中,之所以USB部分开发迅速,而network的mac部分遇到

关于IC验证经验的总结

关于IC验证经验的总结 完整的、详细的设计规范是验证工作的重要起点。 验证工作根据设计规范(Specification)进行,详细的Spec是RTL代码的编写工作的依据,也是验证工作的依据。当验证过程发现DUT的响应与testbench预计的不符时,需要根据Spec判断是DUT出现错误还是testbench出现错误。 参数化的全局定义 ?Register相关位及其数值的全局宏定义。reg_define.v ?相关路径的全局宏定义。define_board.v ?系统重要变量的显示信息。display.v ?与Register相关的比较任务和报错任务。reg_cmp ?时钟周期参数的定义,一般局部定义,用parameter定义。 存取波形及相应变量的数据,使用`ifdef为全局定义使用 1.波形源头文件是VCD波形,但过于庞大,可用来做功耗分析。 $dumpfile(“wave.vcd”); $dumpvars(0,xxx); $dump0ff; $dumpflush; 2.SHM波形是Cadence的,可以用simvision打开。 $shm_open(“wave.shm”); $shm_probe(xxx,“AST”); $shm_close; 3.FSDB波形是Novas的,可以用nwave打开。 $fsdbDumpfile(“wave.fsdb”); $fsdbDumpvars(0,xxx); 4.VPD波形是Synopsys的,可以用dve打开。 $vcdplusfile(“wave.vpd”); $vcdpluson(0,xxx); 5.变量的存取,可以使用宏来选择变量的存取与否与存取时间使用。

中国IC设计公司现状和发展分析

中国IC设计公司现状和发展分析 1. 200万门是最大设计规模 本次调查显示了中国IC设计公司的地域分布特点,84%的IC设计公司主要集中在沿海城市及北京市,其中上海、无锡和杭州三地占40%,北京占26%,深圳为18%,成都/重庆占5%,西安和武汉分别为4%和3%。 目前,中国IC设计公司的主要资金来源是自筹和政府,中小规模的公司占主体,如下图图1所示。 在被调查的公司中,平均每个公司有6个产品系列,44%的受访公司产品系列在5个以下,20个以上占10%。目前,中国IC设计公司的最大设计规模为200万门(图1)。数字IC产品的设计水平主要集中在0.25到0.5微米以及0.5到1.5微米内,分别占34%和29%,小于0.25微米仅占20%;模拟IC中50%采用0.5到1.5微米,1.5微米以上占42%。 2. 主流产品通信类第一、消费类第二 42%受访公司的产品主要应用领域为通信,34%为消费类,分别占第一、二位;工业电子和计算机类分别占10%和8%。受访公司的主要产品集中在ASIC、MCU、视频类IC和数模混合IC,如图1所示,显示了通信领域对ASIC和MCU的巨大需求。另一方面也反映出由于经济实力和规模的制约,ASSP等标准器件的设计仍然处于弱势。 通信类产品是目前国产IC中最主要的一类,本次调查显示42%的公司涉足该类产品,52%的受访者认为此类产品发展前景最好(图2),28%的受访公司在未来的两年中将会推出通信类IC产品,但仅为第二位(图3),暴露出中国IC设计公司对更高技术含量的通信类设计仍信心不足。随着中国在通信基础设施的大量资金投入,通信IC的市场的进一步扩大必将吸引更多国内IC设计公司的关注。请参见图2,图3。 图2 图3 在被调查公司的产品类型中,电视/视频/显示相关产品占12%,位居第三。该数据显示未来视频相关产品为广大IC公司所看好,这与目前宽带到户、数字HDTV、MPEG技术的发展趋势

如何成为一名IC设计工程师

如何成为一名IC设计师 IC设计不同于一般的板级电子设计,由于流片的投资更大,复杂度更高,系统性更强,所以学习起来也有些更有意思的地方。 那么如何才能成为一个优秀的IC设计工程师? 首先,作为初学者,需要了解的是IC设计的基本流程。应该做到以下几点:基本清楚系统、前端、后端设计和验证的过程,IC设计同半导体物理、通信或多媒体系统设计之间的关系,了解数字电路、混合信号的基本设计过程,弄清楚ASIC,COT这些基本的行业模式。窃以为这点对于培养兴趣,建立自己未来的技术生涯规划是十分重要的。学习基本的设计知识,建议读一下台湾CIC的一些设计教材,很多都是经典的总结。 EDA技术的学习:对于IC设计者来说,EDA工具意义重大,透过EDA工具商的推介,能够了解到新的设计理念。国内不少IC设计者,是单纯从EDA的角度被带入IC设计领域的,也有很多的设计者在没有接触到深亚微米工艺的时候,也是通过EDA厂家的推广培训建立基本概念。同时,对一些高难度的设计,识别和选择工具也是十分重要的。如果你希望有较高的设计水平,积累经验是一个必需的过程。经验积累的效率是有可能提高的。以下几点可以参考: 1.学习借鉴一些经典设计,其中的许多细节是使你的设计成为产品时必需注意的。有些可能是为了适应工艺参数的变化,有些可能是为了加速开关过程,有些可能是为了保证系统的稳定性等。通过访真细细观察这些细节,既有收益,也会有乐趣。项目组之间,尤其是项目组成员之间经常交流,可避免犯同样错误。 2.当你初步完成一项设计的时侯,应当做几项检查:了解芯片生产厂的工艺,器件模型参数的变化,并据此确定进行参数扫描仿真的范围。了解所设计产品的实际使用环境,正确设置系统仿真的输入条件及负载模型。严格执行设计规则和流程对减少设计错误也很有帮助。 3.另外,你需要知识的交流,要重视同前端或系统的交流,深刻理解设计的约束条件。作为初学者,往往不太清楚系统,除了通过设计文档和会议交流来理解自己的设计任务规范,同系统和前端的沟通是IC设计必不可少的。所谓设计技巧,都是在明了约束条件的基础上而言的,系统或前端的设计工程师,往往能够给初学者很多指导性的意见。 4.查文献资料是一个好方法。多上一些比较优秀的电子网站,如中国电子市场网、中电网、电子工程师社区。这对你的提高将会有很大的帮助。另外同“老师傅”一同做项目积累经验也较快。如果有机会参加一些有很好设计背景的人做的培训,最好是互动式的,也会有较好的收获。 5.重视同后端和加工线的交流:IC设计的复杂度太高,除了借助EDA工具商的主动推介来建立概念之外,IC设计者还应该主动地同设计环节的上下游,如后端设计服务或加工服务的工程师,工艺工程师之间进行主动沟通和学习。对于初学者来说,后端加工厂家往往能够为他们带来一些经典的基本理念,一些不能犯的错误等基本戒条。一些好的后端服务公司,不仅能提供十分严格的Design Kit,还能够给出混合信号设计方面十分有益的指导,帮助初学者走好起步之路。加工方面的知识,对于IC设计的“产品化”更是十分关键。 6.重视验证和测试,做一个“偏执狂”:IC设计的风险比板级电子设计来的更大,因此试

IC设计经验总结

I C设计经验总结 一、芯片设计之前准备工作: 1)根据具体项目的时间要求预订MPW班次,这个可以多种途径完成。 (1):一方面可以跟中科院EDA中心秦毅等老师联系,了解各个工艺以及各个班次的时间。半导体所是EDA中心的会员单位,他们会很热心的帮助完成。 (2):另一方面可以和具体项目合作的单位如清华等,根据他们的流片时间来制定自己的流片计划。 2)仔细核对设计库的版本更新情况,包括PDK、Spectre Model以及RuleDecks。这些 信息可以直接可以从中科院EDA中心获得,或者从相应的合作单位进行沟通统一。 这一点对后续的设计很重要,请务必要引起重视。 3)得到新的工艺库必须整体的熟悉一下,好好的查看里面的Document以及Userguide 之类的,里面的很多信息对实际设计很有帮助。安装工艺库的过程会根据具体设计要求做出一些选着。如TSMC65nm工艺库在安装过程中会提示是否选着RF工艺、电感是否使用厚层金属、MIM电容的单位面积电容值等之类的。 4)制定TapeOut的具体Schedule. 这个Schedule的制订必须请相关有经验的人来核 实,第一次TapeOut的人往往缺乏实际经验,对时间的安排可能会不合理。一旦Schedule制订好后,必须严格按照这个时间表执行。当然必须赶早不赶晚! 二、芯片设计基本系统框图一

图一 三、模拟IC设计基本流程 3.1) 设计框图如下图二 电路样式选择 电路结构确定 参数的选定 以及仿真 优化以及可 靠性仿真 图二

3.2 电路的式样确定 这个主要是根据系统设计结果,分析和确定模拟电路的详细的式样。 3.3 电路的结构确定 根据单元模块电路的功耗、代价等各个指标的折中分析,确定各个单元模块的具体实现电路形式,如滤波器是无源滤波器还是有源滤波器,有正交VCO产生I/Q信号还是通过/2分频器来实现I/Q信号,用差分形式还是用单路形式等等。在具体电路的选取过程中,我们需要查阅了大量的IEEE文献,从中选取了比较成熟的,应用较广的电路结构来进行我们的设计工作。有时候可能会发现所确定的结构很难或者根本不可能满足技术指标的要求,这就需要改进结构或者查阅文献,设法满足要求。 3.4 参数的选取和仿真 电路参数的选定与电路的仿真是分不开的。在比较重要的设计任务中,手算可以在20%的时间内完成80%的设计工作量,剩下的20%却需要花80%的时间来做。通过手算确定的参数是近似的,有时候会引错方向。但是它可以了解到参数的变化对设计会有多大的影响,是很有必要的。而采用计算机的反复迭代会使设计者对设计体会不深,不是明智的办法。 俗话说“公欲善其事,必先利其器”。目前,在公司内部可以使用多种EDA工具进行电路仿真。对于EDA工具的使用不在于多,能够精通常用的一类或者几类就行。最主要的时候能够灵活的进行仿真规划,知道什么样的电路适合用什么样的仿真工具。 -HSPICE;对于低频电路设计来说,HSPICE是一种最灵活方便的工具,而且其仿真精度也比较高,后来被SYNOPSYS收购,好像也正是因为这个原因使得如今的Hspice仿真速度以及精度都可以跟Cadence产出的仿真器相媲美了。业界使用Hspice作为仿真软件的也挺多,原先是avanti公司的, -Spectre;是Cadence的仿真器,由于其是图形界面,所以很直观。 -SpectreRF:对于射频电路设计,SpectreRF是一种不错的选择。 -UltraSim:相比于Spertre而言,在仿真精度损失3%的情况下,可以加速10~100倍的仿真速度。而且进行整体芯片后仿真时候,我们可以根据其不用的精度要求来设置各个模块的仿真精度。UltraSim Full-Chip Simulator for faster convergence on goals and signoff of post-layout designs at the chip level. 具体UltraSim的使用可以参考《Virtuoso? UltraSim Simulator User Guide》、《ADE/UltraSim Integration Tutorial》等。在网上相关资料很多,可以根据要求自己下载学习。 -APS:Accelerated Parallel Simulator delivers high-precision SPICE and scalable

IC设计验证

IC设计验证 做了多年的IC验证工作。经过学习和实践,对验证的理解零零散散也有不少,但总没法形成一个比较完整全面的经验谈。这里把我对验证的一些想法记录归纳,由于理解有限,下面的篇幅也许会比较零散。 一、验证对于IC的重要性 IC是集成电路的缩写,也就是我们常说的芯片;IC行业的技术门槛高、投入资金大、回报周期长、失败风险高,做一款中等规模的芯片大致需要10多人做1年半,开模的费用一般都在几百万,设计过程的笔误或者设计bug至少都 会有上千个,由于设计缺陷或者工艺缺陷很容易造成芯片完全变成所谓的石头,而如果要重新头片不但需要投入额外的费用,更会将芯片上市时间延后至少半年,这些风险对于商业公司来说都是不可接受的。 正因为芯片的高风险,才凸显了验证的重要性。在流片之前,通过验证人员的验证活动发现所有的设计bug,这就显得特别重要。 二、验证的目标 做验证首先要明确我们做IC验证的目标是什么。上面我们已经提到,由于芯片的高风险、高代价,才更突出了验证的重要性,尤其是芯片规模越来越大,逻辑越来越复杂。 为了保证芯片的成功,验证唯一的目标就是发现所有的bug,做到无漏验、零漏测。 三、验证的两问题 作为验证人员,首先要搞清楚两个问题: 1)我们要验证什么? 2)我们该怎么验? 这两个问题是验证的根本,就如同哲学里的“我是谁、我来自哪儿、我要去 哪儿”一样,“我们要验什么?”是给我们指明目标,”我们该怎么验?“则是告诉我们该采用什么样的手段去达到这个目标。

如果这2个问题都没搞清楚,那么没人对你负责验证的模块有信心,毕竟你自己都不知道你的目标是什么,不知道该怎么做才能达到那个目标。这两个问题是验证的核心所在,如果想做好验证,这是前提。 四、验证的三板斧 要想做好验证,保证无漏验、零漏测,以下三个要素是必须要具备的:验证工具的掌握、算法/协议的理解、验证的意识。 1)验证工具的掌握 验证工具包括vmm/uvm等验证方法学、sv/sc等验证语言、vcs等验证仿真工具、perl/python等脚本语言,这些东西是做验证要掌握的基本技能,不论你 做什么样的芯片都需要这些东西来支撑你的验证工作。 这些验证工具可以帮助你解决“我们该怎么验”这个问题,当你很好的掌握这 些验证工具后,你可以有很多种方法途径去达成你的验证目标。 说实在话,验证工具的东西很多,要想在短时间内全部掌握也不可能,而且很多工具可能在你的验证过程中不会用到。 个人对验证工具的一点感悟是:不要贪求全部掌握,你可以先看书学习实践,把这些东西都学习一遍;在学习的过程中你肯定会发现一些好东西(原来还有这种方法可以让我的xx做的更好);对于那些暂时不知道怎么应用到实践中的东西,你也不要认为它们是没用的,其实只是你不知道用在哪儿而已,在你以后的验证中也许就会发现它的应用场景,当你需要它的时候也许你已经忘记怎么用了,这个没关系,你可以再回去查阅资料,这个相信很快就能解决的,这样有个好处是当你碰到可以用xx的时候你至少能想起曾经看到某个东西可以来实现它,如果你从未学习过,那么你根本就不会想起有这么个方法可以解决它,这才是可怕的,我都不知道这个问题是可以被解决的。 2)算法/协议的理解 芯片要实现什么,不外乎是xx算法、某某协议,算法/协议才是芯片的魂。验证其实也就是验的算法/协议实现是否正确。就跟批改作文一样,只有批改者 有一定的文学功底,才能更好的评判作文水平。 因此,验证人员对算法/协议理解越深刻越好,要理解算法的原理以及算法的实现结构,只有这样才能找出其中的corner点。 3)验证的意识

9年FPGA工作经验总结

9年FPGA工作经验总结 三年的,用altera的c3和c4 做led控制卡。2年的用lattice的MACHXO-XO2和ECP2做了视频和网络光端机,3年的XILINX的SPARTAN 6 做了视频ISP处理,现在一年的xilinx的ZYNQ做机器视觉。 第一个,9年的fpga,说起来,给我感觉fpga写代码牛逼的人,都是写着看不懂的代码。基本上的代码都是小逻辑做的。大逻辑做的都是沙雕。 第二个,知道各类高速接口,做fpga只会玩玩串口,I2C,SPI还有DVI,这种低能儿工资也就是低于几千块钱。高速总线,列入DDR3控制,双边沿,SERDRES,这个是必须知道怎么用,怎么调试。好多沙雕就知道下载代码,有问题也不知道从哪里入手。 第三个,知道时序约束,fpga很傻的元件,你经常发现,他自己不知道哪个是时钟,要求跑多少。还有各类并行总线,要求相位抖动控制多少。还有不同时钟不要去约束。特别是用低端器件,用上了60%资源的人,经常无缘无故的bug的,低于五位数的工资基本不知道啥原因的。 第四个,也就是我一直遗憾的东西,行业的算法和需求了。有些沙雕一辈子就是打工的命。一年除去房租和吃饭,回去大农村还被邻居鄙视大学生读书无用。所以有些时候,要学会沉淀。也许我当年在led行业待了9年,我估计现在已经接无数的兼职和订单,少数一年买个宝马x1没有问题。哪像现在,自己出来真怕死。 就说这些。我转行了。一把眼泪。有人问我,是不是工资太少了。拿着别人要饭的钱,都是吊丝。想想你农村的父母和还有孩子吧。好好想点更快的路子。做硬件工程师,是做产品的第一步。因为你要面对很多销售沙雕,他们很有钱,但是天花乱坠的需求,这个时候,你只有学会硬件才能制造硬件方案。然后找软件工程师一起协作做项目。我以前的兼职项目就死在这里了。(小编注:其实楼主的工资不算低) 而且FPGA工程师,也必须熟悉硬件。连原理图都看不懂,你还做啥这么没前途的东西。 还有一个ZYNQ平台,fpga 工程师要学会arm的裸跑系统。因为我被傻x害惨了。

集成电路设计与集成系统专业实习总结范文

《浙江大学优秀实习总结汇编》 集成电路设计与集成系统岗位工作实 习期总结 转眼之间,两个月的实习期即将结束,回顾这两个月的实习工作,感触很深,收获颇丰。这两个月,在领导和同事们的悉心关怀和指导下,通过我自身的不懈努力,我学到了人生难得的工作经验和社会见识。我将从以下几个方面总结集成电路设计与集成系统岗位工作实习这段时间自己体会和心得: 一、努力学习,理论结合实践,不断提高自身工作能力。 在集成电路设计与集成系统岗位工作的实习过程中,我始终把学习作为获得新知识、掌握方法、提高能力、解决问题的一条重要途径和方法,切实做到用理论武装头脑、指导实践、推动工作。思想上积极进取,积极的把自己现有的知识用于社会实践中,在实践中也才能检验知识的有用性。在这两个月的实习工作中给我最大的感触就是:我们在学校学到了很多的理论知识,但很少用于社会实践中,这样理论和实践就大大的脱节了,以至于在以后的学习和生活中找不到方向,无法学以致用。同时,在工作中不断的学习也是弥补自己的不足的有效方式。信息时代,瞬息万变,社会在变化,人也在变化,所以你一天不学习,你就会落伍。通过这两个月的实习,并结合集成电路设计与集成系统岗位工作的实际情况,认真学习的集成电路设计与集成系统岗位工作各项政策制度、管理制度和工作条例,使工作中的困难有了最有力地解决武器。通过这些工作条例的学习使我进一步加深了对各项工作的理解,可以求真务实的开展各项工作。 二、围绕工作,突出重点,尽心尽力履行职责。 在集成电路设计与集成系统岗位工作中我都本着认真负责的态度去对待每项工作。虽然开始由于经验不足和认识不够,觉得在集成电路设计与集成系统岗位工作中找不到事情做,不能得到锻炼的目的,但我迅速从自身出发寻找原因,和同事交流,认识到自己的不足,以至于迅速的转变自己的角色和工作定位。为使自己尽快熟悉工作,进入角色,我一方面抓紧时间查看相关资料,熟悉自己的工作职责,另一方面我虚心向领导、同事请教使自己对集成电路设计与集成系统

ic设计经验总结

ic设计经验总结 IC设计经验总结 一、芯片设计之前准备工作: 1) 根据具体项目的时间要求预订MPW班次,这个可以多种途径完成。 (1):一方面可以跟中科院EDA中心秦毅等老师联系,了解各个工艺以及各个班次的时间。半导体所是EDA中心的会员单位,他们会很热心的帮助完成。 (2):另一方面可以和具体项目合作的单位如清华等,根据他们的流片时间来制定自己的流片计划。 2) 仔细核对设计库的版本更新情况,包括PDK、Spectre Model以及RuleDecks。这些信息可以直接可以从中科院EDA 中心获得,或者从相应的合作单位进行沟通统一。这一点对后续的设计很重要,请务必要引起重视。 3) 得到新的工艺库必须整体的熟悉一下,好好的查看里面的

Document以及Userguide之类的,里面的很多信息对实际设计很有帮助。安装工艺库的过程会根据具体设计要求做出一些选着。如TSMC65nm工艺库在安装过程中会提示是否选着RF工艺、电感是否使用厚层金属、MIM电容的单位面积电容值等之类的。 4) 制定TapeOut的具体Schedule. 这个Schedule的制订必须请相关有经验的人来核实,第一次TapeOut的人往往缺乏实际经验,对时间的安排可能会不合理。一旦Schedule制订好后,必须严格按照这个时间表执行。当然必须赶早不赶晚! 二、芯片设计基本系统框图一图一 三、模拟IC设计基本流程 3.1) 设计框图如下图二 电路样式选择 电路结构确定 参数的选定

以及仿真 优化以及可 靠性仿真 图二 3.2电路的式样确定 这个主要是根据系统设计结果,分析和确定模拟电路的详细的式样。 3.3电路的结构确定 根据单元模块电路的功耗、代价等各个指标的折中分析,确定各个单元模块的具体实现电路形式,如滤波器是无源滤波器还是有源滤波器,有正交VCO产生I/Q信号还是通过/2分频器来实现I/Q信号,用差分形式还是用单路形式等等。在具体电路的选取过程中,我们需要查阅了大量的IEEE文献,从中选取了比较成熟的,应用较广的电路结构来进行我们的设计工作。有时候可能会发现所确定的结构很难或者根

IC设计工程师---未来10年最有前景的IT专业

IC设计工程师---未来10年最有前景的IT专业 IC设计不同于一般的板级电子设计,由于流片的投资更大,复杂度更高,系统性更强,所以学习起来也有些更有意思的地方。那么如何才能成为一个优秀的IC设计工程师?首先,作为初学者,需要了解的是IC设计的基本流程。应该做到以下几点:基本清楚系统、前端、后端设计和验证的过程,IC设计同半导体物理、通信或多媒体系统设计之间的关系,了解数字电路、混合信号的基本设计过程,弄清楚ASIC,COT这些基本的行业模式。窃以 为这点对于培养兴趣,建立自己未来的技术生涯规划是十分重要的。学习基本的设计知识,建议读一下台湾CIC的一些设计教材,很多都是经典的总结。 EDA技术的学习:对于IC设计者来说,EDA工具意义重大,透过EDA工具商的推介, 能够了解到新的设计理念。国内不少IC设计者,是单纯从EDA的角度被带入IC设计领域的,也有很多的设计者在没有接触到深亚微米工艺的时候,也是通过EDA厂家的推广培训 建立基本概念。同时,对一些高难度的设计,识别和选择工具也是十分重要的。如果你 希望有较高的设计水平,积累经验是一个必需的过程。经验积累的效率是有可能提高的。 以下几点可以参考: 1.学习借鉴一些经典设计,其中的许多细节是使你的设计成为产品时必需注意的。有 些可能是为了适应工艺参数的变化,有些可能是为了加速开关过程,有些可能是为了保证 系统的稳定性等。通过访真细细观察这些细节,既有收益,也会有乐趣。项目组之间,尤 其是项目组成员之间经常交流,可避免犯同样错误。 2.当你初步完成一项设计的时侯,应当做几项检查:了解芯片生产厂的工艺, 器件模 型参数的变化,并据此确定进行参数扫描仿真的范围。了解所设计产品的实际使用环境, 正确设置系统仿真的输入条件及负载模型。严格执行设计规则和流程对减少设计错误也很 有帮助。 3.另外,你需要知识的交流,要重视同前端或系统的交流,深刻理解设计的约束条件。作为初学者,往往不太清楚系统,除了通过设计文档和会议交流来理解自己的设计任务规范,同系统和前端的沟通是IC设计必不可少的。所谓设计技巧,都是在明了约束条件的 基础上而言的,系统或前端的设计工程师,往往能够给初学者很多指导性的意见。 4.查文献资料是一个好方法。多上一些比较优秀的电子网站,如中国电子市场网、中 电网、电子工程师社区。这对你的提高将会有很大的帮助。另外同"老师傅"一同做项目积 累经验也较快。如果有机会参加一些有很好设计背景的人做的培训,最好是互动式的,也 会有较好的收获。 5.重视同后端和加工线的交流:IC设计的复杂度太高,除了借助EDA工具商的主动推介来建立概念之外,IC设计者还应该主动地同设计环节的上下游,如后端设计服务或加工服务的工程师,工艺工程师之间进行主动沟通和学习。对于初学者来说,后端加工厂家往 往能够为他们带来一些经典的基本理念,一些不能犯的错误等基本戒条。一些好的后端服

集成电路设计衬底问题总结

集成电路设计衬底问题总结 问题 在低电位衬底与低电位的调研过程中,遇到几个问题不是很清楚: 1、如果分开衬底及低电位PAD,那么衬底电位是一稳定电位,低电位也是一稳 定电位,但是在考虑串扰等其它影响因素后,低电位与衬底电位会有各自不同的寄生等影响导致两者电位出现差异,那不是会产生更为严重的体效应,影响模拟电路的性能。 2、如果分开衬底及低电位PAD,布线肯定更为复杂,那么将引入更多的寄生效 应,在做后仿的时候不是会使其后仿结果变差吗?如果是这样,那不是就必须使其测试结果要比后仿变差的效果除了有弥补作用外,还应该提高其相应性能指标,减小衬底噪声造成的影响。这个 3、我们这个电路频率不高但是对噪声较为敏感,如果考虑分开做PAD,在处理 更为复杂的布线寄生与稳定衬底电位的问题上,该如何折中选择呢? 调研 下面是我调研的一些内容,可供参考: 衬底、低电位调研 1、项目中用到的NMOS管子型号,主要有NS、NO及N三种类型;其中, NS和N管均末作在阱里。 2、项目整体要求为一模数混合低噪芯片,其中各个模块对噪声均对敏感, 尤其在前端及基准模块。

3、衬底噪声对整个电路的影响:在深亚微米结构中,共存于同一衬底上的 这些不同的电路之间产生了相互干扰。这些相互干扰导致的噪声降低了模拟电路的性能。由于衬底耦合引起的交叉干扰。在SOC中,数字电路和模拟电路被制作于同一个衬底上(如图2.1所示),这就给模拟电路引入了许多额外的由不同机制产生的片上串扰耦合。如焊接线间串扰、同一金属层之间的互连串扰、不同金属层之间的互连串扰、封装管脚之间的串扰以及器件或互连与衬底之间的串扰。衬底噪声就属于众多片上耦合现象中的一种。衬底给噪声电流提供了一个低阻通路。数字电路开关的瞬时转换把噪声电流注入到衬底中,导致电压的起伏波动。由于晶体管的阈值电压极易受衬底偏压影响,所以电压波动可以通过体效应影响敏感的模拟电路的运行。噪声耦合到衬底的途径之一就是通过源极与衬底之间的pn结电容或者漏极与衬底之间的pn结结电容注入。衬底耦合噪声的传播: 衬底噪声的传播依赖于衬底掺杂、噪声频率和衬底背面偏置压焊线的寄生电感。一旦噪声注入衬底,它就会在衬底中到处传播,引起衬底电压波动。所以衬底充当了信号传播的途径。衬底可看成是一个三维电阻网络,网络上任一给定的节点的任一波动都会导致整个网络上的电压起伏。 4、衬底噪声来源:衬底噪声的主要来源,是供电电源的跳动和电容的耦合。 当节点转换状态时,需要从电源线或接地线吸取电流。如果输出驱动部分产生状态变换,或者许多节点改变状态时,需要经过电源线吸取大量的电流,于是产生了电压降。这将在芯片的电源上产生跳动,导致噪声的产生。电源线、时钟线或者晶体管的漏极区和衬底之间都存在电容(如图1.1所示),可以导致电容耦合。

写给想做IC设计的本科生

写给想做IC设计的本科生 文章发表于:2008-02-19 09:04 我4年前本科毕业, 第一家公司是做车载系统的, 用fujitsu的单片机, 那时嵌入式系统还没有铺天盖地.2年后, 我比较幸运的进入一家做IC的公司, ... 现在在公司SoC部门做系统设计 我的经验是, 要想在IC行业有好的发展, 自己的知识结构要有足够的广度和深度, 而这些东西只靠在学校读书是无法获全的. 本科生的基础,只要你是电子专业的,并且大学期间没有专注于泡妞, 做IC设计应该没有任何问题. IC领域的发展速度是所有行业里最快的, 这依赖于工艺和材料技术的发展, 新的材料和工艺, 可能随时刷新这个领域的理论和方法论... 比如深亚微米工艺引出的功耗设计理论; 比如超大规模SoC导致新的验证学方法.....等这些东西变成大学教材的时候, 相信我们又正在面临工艺带来的新问题. 所以, 作为一个IC工程师, 更重要应该是超强的学习能力,理解能力,应用能力,对新技术的敏感和耐心. 如果你要领导IC领域的发展, 那本科那点东西是绝对不够的; 如果你要做IC理论的应用工程师, 那本科应该是够的; 说IC行业太泛泛了, 谁也不能一个人贯穿整个行业. IC行业就目前而言, 公司的结构是这样的: 1. 验证部门, 以前只是做模拟(NPW),和数字部分的FPGA验证, 现在又多了一个专注于功能验证的新分类。这个新分类使用新的验证理论和验证工具(vera, systemverilog, systemc, e...) 2. 数字前端, 专注于功能模块,算法设计以及功能级别的仿真 3. 数字后端, 专注于数字部分RTL的综合,静态时序分析,形式验证以及数字部分版图

相关主题
文本预览
相关文档 最新文档