当前位置:文档之家› 等精度频率计

等精度频率计

等精度频率计
等精度频率计

等精度频率计

一、设计任务与要求

设计并制作一台能测量频率和周期的等精度频率计,即在整个频率测量和周期测量范围内可获得同样高的测量精度。电路示意图如图所示。

(1) 频率测量范围:1Hz ~10MHz (7位数字显示); (2) 周期测量范围:0.01μs ~1s ;

(3) 信号及信号幅度:矩形波,TTL 电平; (4) 测量误差≤10-7(仅考虑计数误差); (5) 预置闸门时间:1s

(6)为了达到能对相关知识点进行练习的目的,要求按图1所示的电路结构设计,除应包括单片机、数字电路部分外,还应按发挥部分的要求增加模拟通道电路部分。

二.计数测量误差

等精度频率计电路示意图

被测信号f

闸门时间由晶体振荡器多次倍频或分频获得,所以,标准频率误差就是频率计中晶体振荡器的准确度。为了使标准频率误差对测量结果产生影响足够小,应认真选择晶振的准确度。一般说来,频率计显示器的位数愈多,所选择的内部晶振准确度就应愈高。例如七位数字的通用计数器一般采用准确度优于10-7数量级的晶体振荡器。这样,在任何测量条件下,由标准频率误差引起的测量误差,都不大于由±1误差所引起的测量误差。

设单片机在同一闸门时间T G 内,测得被测信号f x 的个数是N x ,测得时钟信号f s 的个数是N S ,则可得

图2 等精度频率计的示意波形图

被测信号f x YG0预置闸门

YG1闸门时钟f S

Q1

Q2

()()()()S

S

S S X X X X S S

S S X X S S X X X X S S

X X G S S X X f f N N N N f f f df N dN N dN f d N d N d f d f df f N N f T f N f N ?+?-?=?∴

+-=+-===∴==ln ln ln ln ,

上式表明频率测量的相对误差由三项组成,前面两项是量化误差,也称为计数误差,后面一项是标准频率的误差。由于电路中同步控制D 触发器的同步作用,已经消除了被测信号N X 的量化误差ΔN X ,因此频率测量计数(量化)相对误差的绝对值δ仅为:

S

G S S

S f T N N N ?=

<

?=

1

此时,虽然量化误差ΔN S 依然存在,但由于标准频率f s 较高,即N S 较大,而|ΔN S |<1,所以δ很小;若取f s=10MHz ,在T G =1s 时,量化误差δ≤10-7,并且量化的相对误差δ与被测信号的频率f x 无关。

三.电路设计原理

频率为fx 的被测信号经通道放大整形后输入到同步门控制电路和主门1,晶体振荡器的输出信号作为标准信号(时基信号)输入到主门2.被测信号在同步控制门的作用下,产生一个与被测信号同步的闸门信号,被测信号与标准信号在同步门控制信号的控制下。在同部门打开时通过同步门分别输入到事件计数器和时间计数器的信号输入端,计数器开始计数。同步门关闭时信号不能通过主门。计数器停止计数吗,单片机发出命令读入计数器的

数值,并进行数据处理,将处理后的结果送到单片机中显示。

等精度测量方法是采用的多周期同步测量。由单片机发出预置门控制信号gate,gate的时间宽度对测量频率的精度影响较少,可以在较大的范围内选择,即在高频段时,闸门时间较短,低频是闸门时间较长。实现了全范围等精度测量,减少了低频测量的误差。在同部门的控制下,一方面保证了被测信号和时基信号的同步测量;另一方面在同步们打开后计数器并不是马上停止计数,而是在被测信号反的下一个上升沿停止计数。即在实际闸门时间计数,从而提高了测量精度。

由于采用D触发器实现的同步们的同步作用,事件计数器所记录的Nx值已不存在误差的影响,但由于时钟信号与闸门的开和关无确定的相位关系,时间计数器所记录的N0的值仍存在1的误差影响,只是由于时钟频率很高,误差的影响很小。所以在全频段的测量精度是均衡的,从而实现等精度频率测量。

四.硬件电路设计

等精度频率计主要由以下几部分组成:单片机控制部分,同步门控制电路,计数与显示电路。其中P1.0用于控制同步门D

触发器74HC74产生同步的闸门信号,P1.2用于对CD4040组成的计数器清零,高位计数值在单片机的T0,T1中。然后单片机对计数值进行运算处理,并送出显示。同步门控制电路由D触发器,74HC04和74HC00组成(主门1,主门2)。主门1控制被测信号fx的通过主门2控制时钟信号T0的通过,两门的启闭由同步门控制电路控制。计数器包括事件计数器和时间计数器两部分,他们是两组完全相同的计数电路。分别由前后两级组成。前级由两个计数器CD4040级联构成八位二进制计数器;后级由单片机内的计数器构成十六位二进制计数器。标准信号部分采用10MHz石英晶体振荡器来提供测量所需的标准脉冲信号。

五.软件设计

#include"reg52.h"

#include"absacc.h"

#include "intrins.h"

#define uchar unsigned char

#define uint unsigned int

#include"LCD1602.h"//LCD1602显示程序头文件

#include"LCD1602.c"

//#define KEY_H XBYTE[0xFC00]//按键行地址

//#define KEY_L XBYTE[0xFE00]//按键列地址

#define Data_LL XBYTE[0xFA00]//Y2

#define Data_HL XBYTE[0xF800]//CS70

sbit CLK=P1^1;//闸门信号

//sbit PR=P1^1;//清零信号,D触发器

sbit Q=P1^0 ;//判断用

sbit MR=P1^3;//清零信号,计数器

//定义下面所需变量

unsigned long int cnt0=0,cnt1=0;

float num=0,numb=0;//,Tnum=0,Tnumb=0

void main()

{

//初始化

TMOD=0x55;//T0,T1都为计数模式1 C/T=1;M1M0=01;

MR=1;//使两个计数器清零

TL0=0;TL1=0;

TH0=0;TH1=0;

//PR=0;//关同步闸门

RCAP2H=(65536-50000)/256;//T2计数初值46080

RCAP2L=(65536-50000)%256;

ET2=1;//开T2中断

EA=1;//开总中断

TR2=1;//开T2定时

nint_LCD();//液晶初始化

print("COME ON !!!^-^",0x40);

while(1);

}

void timer2()interrupt 5

{

uchar i,date_l,date_h;

unsigned long int dis0,dis1;

TF2=0;

ET2=0;TR2=0;

MR=0;//PR=1;

CLK=1;

TR0=1;TR1=1;

i++;

if(i>=20)

{

i=0;

CLK=0;

while(Q);//此处是等待电平的变换

TR0=0;TR1=0;

dis0=TL0|(TH0<<8);

dis1=TL1|(TH1<<8);

date_l=Data_LL;date_h=Data_HL;

cnt0=dis0*256+date_l;

cnt1=dis1*256+date_h; //10000000

numb=(float)cnt0/(float)cnt1*10000000;

// Tnumb=(float)cnt1/(float)cnt0/10;

num=numb;//Tnum=Tnumb;

//F=?

if(numb>=10000000)

{

num=num;

dis_LCD((unsigned long int)num/10000000+0x30,0x02);

dis_LCD((unsigned long int)num/1000000%10+0x30,0x03);

print(".",0x04);

dis_LCD((unsigned long int)num/100000%10+0x30,0x05);

dis_LCD((unsigned long int)num/10000%10+0x30,0x06);

dis_LCD((unsigned long int)num/1000%10+0x30,0x07);

dis_LCD((unsigned long int)num/100%10+0x30,0x08);

dis_LCD((unsigned long int)num/10%10+0x30,0x09);

//dis_LCD((unsigned long int)num%10+0x30,0x0a);

print("MHz",0x0b);

}

else if((numb>=1000000)&&(numb<10000000))

{

num=num*10;

dis_LCD((unsigned long int)num/10000000+0x30,0x02);

print(".",0x03);

dis_LCD((unsigned long int)num/1000000%10+0x30,0x04);

dis_LCD((unsigned long int)num/100000%10+0x30,0x05);

dis_LCD((unsigned long int)num/10000%10+0x30,0x06);

dis_LCD((unsigned long int)num/1000%10+0x30,0x07);

dis_LCD((unsigned long int)num/10%10+0x30,0x09);

//dis_LCD((unsigned long int)num%10+0x30,0x0a);

print("MHz",0x0b);

}

else if((numb>=100000)&&(numb<1000000))

{

num=num*100;

dis_LCD((unsigned long int)num/10000000+0x30,0x02);

dis_LCD((unsigned long int)num/1000000%10+0x30,0x03);

dis_LCD((unsigned long int)num/100000%10+0x30,0x04);

print(".",0x05);

dis_LCD((unsigned long int)num/10000%10+0x30,0x06);

dis_LCD((unsigned long int)num/1000%10+0x30,0x07);

dis_LCD((unsigned long int)num/100%10+0x30,0x08);

dis_LCD((unsigned long int)num/10%10+0x30,0x09);

//dis_LCD((unsigned long int)num%10+0x30,0x0a);

print("kHz",0x0b);

}

else if((numb>=10000)&&(numb<100000))

{

num=num*1000;

dis_LCD((unsigned long int)num/10000000+0x30,0x02);

dis_LCD((unsigned long int)num/1000000%10+0x30,0x03);

print(".",0x04);

dis_LCD((unsigned long int)num/100000%10+0x30,0x05);

dis_LCD((unsigned long int)num/10000%10+0x30,0x06);

dis_LCD((unsigned long int)num/1000%10+0x30,0x07);

dis_LCD((unsigned long int)num/100%10+0x30,0x08);

dis_LCD((unsigned long int)num/10%10+0x30,0x09);

//dis_LCD((unsigned long int)num%10+0x30,0x0a);

print("kHz",0x0b);

}

else if((numb>=1000)&&(numb<10000))

{

num=num*10000;

dis_LCD((unsigned long int)num/10000000+0x30,0x02);

print(".",0x03);

dis_LCD((unsigned long int)num/1000000%10+0x30,0x04);

dis_LCD((unsigned long int)num/100000%10+0x30,0x05);

dis_LCD((unsigned long int)num/10000%10+0x30,0x06);

dis_LCD((unsigned long int)num/1000%10+0x30,0x07);

dis_LCD((unsigned long int)num/100%10+0x30,0x08);

dis_LCD((unsigned long int)num/10%10+0x30,0x09);

print("kHz",0x0b);

}

else if((numb>=100)&&(numb<1000))

{

num=num*100000;

dis_LCD((unsigned long int)num/10000000+0x30,0x02);

dis_LCD((unsigned long int)num/1000000%10+0x30,0x03);

dis_LCD((unsigned long int)num/100000%10+0x30,0x04);

print(".",0x05);

dis_LCD((unsigned long int)num/10000%10+0x30,0x06);

dis_LCD((unsigned long int)num/1000%10+0x30,0x07);

dis_LCD((unsigned long int)num/100%10+0x30,0x08);

dis_LCD((unsigned long int)num/10%10+0x30,0x09);

//dis_LCD((unsigned long int)num%10+0x30,0x0a);

print(" Hz",0x0b);

}

else if((numb>=10)&&(numb<100))

{

num=num*1000000;

dis_LCD((unsigned long int)num/10000000+0x30,0x02);

dis_LCD((unsigned long int)num/1000000%10+0x30,0x03);

print(".",0x04);

dis_LCD((unsigned long int)num/100000%10+0x30,0x05);

dis_LCD((unsigned long int)num/10000%10+0x30,0x06);

dis_LCD((unsigned long int)num/1000%10+0x30,0x07);

dis_LCD((unsigned long int)num/100%10+0x30,0x08);

dis_LCD((unsigned long int)num/10%10+0x30,0x09);

//dis_LCD((unsigned long int)num%10+0x30,0x0a);

print(" Hz",0x0b);

}

else// if(numb<10)

{

num=num*10000000;

dis_LCD((unsigned long int)num/10000000+0x30,0x02);

print(".",0x03);

dis_LCD((unsigned long int)num/1000000%10+0x30,0x04);

dis_LCD((unsigned long int)num/100000%10+0x30,0x05);

dis_LCD((unsigned long int)num/10000%10+0x30,0x06);

dis_LCD((unsigned long int)num/1000%10+0x30,0x07);

dis_LCD((unsigned long int)num/100%10+0x30,0x08);

dis_LCD((unsigned long int)num/10%10+0x30,0x09);

//dis_LCD((unsigned long int)num%10+0x30,0x0a);

print(" Hz",0x0b);

}

/*

//T=?

if(Tnumb>=1000000)

{

Tnum=Tnum*10;

dis_LCD((unsigned long int)Tnum/10000000+0x30,0x02);

print(".",0x03);

dis_LCD((unsigned long int)Tnum/1000000%10+0x30,0x04);

dis_LCD((unsigned long int)Tnum/100000%10+0x30,0x05);

dis_LCD((unsigned long int)Tnum/10000%10+0x30,0x06);

dis_LCD((unsigned long int)Tnum/1000%10+0x30,0x07);

dis_LCD((unsigned long int)Tnum/100%10+0x30,0x08);

dis_LCD((unsigned long int)Tnum/10%10+0x30,0x09);

dis_LCD((unsigned long int)Tnum%10+0x30,0x0a);

print("s",0x0b);

}

else if((Tnumb>=100000)&&(Tnumb<1000000))

{

Tnum=Tnum*100;

dis_LCD((unsigned long int)Tnum/10000000+0x30,0x02);

dis_LCD((unsigned long int)Tnum/1000000%10+0x30,0x03);

dis_LCD((unsigned long int)Tnum/100000%10+0x30,0x04);

print(".",0x05);

dis_LCD((unsigned long int)Tnum/10000%10+0x30,0x06);

dis_LCD((unsigned long int)Tnum/1000%10+0x30,0x07);

dis_LCD((unsigned long int)Tnum/100%10+0x30,0x08);

dis_LCD((unsigned long int)Tnum/10%10+0x30,0x09);

dis_LCD((unsigned long int)Tnum%10+0x30,0x0a);

print("kHz",0x0b);

}

else if((Tnumb>=10000)&&(Tnumb<100000))

{

Tnum=Tnum*1000;

dis_LCD((unsigned long int)Tnum/10000000+0x30,0x02);

dis_LCD((unsigned long int)Tnum/1000000%10+0x30,0x03);

print(".",0x04);

dis_LCD((unsigned long int)Tnum/100000%10+0x30,0x05);

dis_LCD((unsigned long int)Tnum/10000%10+0x30,0x06);

dis_LCD((unsigned long int)Tnum/1000%10+0x30,0x07);

dis_LCD((unsigned long int)Tnum/100%10+0x30,0x08);

dis_LCD((unsigned long int)Tnum/10%10+0x30,0x09);

dis_LCD((unsigned long int)num%10+0x30,0x0a);

print("kHz",0x0b);

2位十进制高精度数字频率计设计

广州大学学生实验报告 实验室:电子信息楼 317EDA 2017 年 10 月 2 日 学院机电学院年级、专 业、班 电信 151 姓名苏伟强学号1507400051 实验课 程名称 可编程逻辑器件及硬件描述语言实验成绩 实验项 目名称 实验4 2位十进制高精度数字频率计设计指导老师 秦剑 一实验目的 1 熟悉原理图输入法中74系列等宏功能元件的使用方法,掌握更复杂的原理图层次化设计技术和数字系统设计方法。 2 完成2位十进制频率计的设计,学会利用实验系统上的FPGA/CPLD验证较复杂设计项目的方法。 二实验原理 1 若某一信号在T秒时间里重复变化了N 次,则根据频率的定义可知该信号的频率fs 为:fs=N/T 通常测量时间T取1秒或它的十进制时间。 三实验设备 1 FPGA 实验箱,quarteus软件 四实验内容和结果 1 2位十进制计数器设计 1.1 设计原理图:新建quarteus工程,新建block diagram/schematic File文件,绘制原理图,命名为conter8,如图1,保存,编译,注意:ql[3..0]输出的低4位(十进制的个位), qh[3..0]输出的高4位(十进制的十位) 图片11.2 系统仿真:如图2建立波形图进行波形仿真,如图可以看到完全符合设计要求,当clk输入时钟信号时,clr有清零功能,当enb高电平时允许计数,低电平禁止计数,当低4位计数到9时向高4位进1 图2 1.3 生成元件符号:File->create/updata->create symbol file for current file,保存,命名为conter8,如图3为元件符号(block symbol file 文件): 图3 2 频率计主结构电路设计 2.1 绘制原理图:关闭原理的工程,新建工程,命名为ft_top,新建原理图文件,在project navigator的file 选项卡,右键file->add file to the project->libraries->project library name添加之前conters8工程的目录在该目录下,这样做的目的是因为我们会用到里面的conters8进行原理图绘制,绘制原理图,如图4,为了显示更多的过程信息,我们将74374的输出也作为output,重新绘制了原理图,图5 图4

等精度频率计设计C程序

1 #include 2 #include 3 #include 4 #include 5 #include 6 #define uchar unsigned char 7 #define uint unsigned int 8 #define ulong unsigned long 9 10 code uchar m[]={0xFC,0x60,0xDA,0xF2,0x66,0xB6,0xBE,0xE0,0xFE,0xF6,0x00}; 11 // 0 1 2 3 4 5 6 7 8 9 灭 12 data uchar NS[]={0x0,0x0,0x0,0x0};//标准频率计数 13 data uchar NX[]={0x0,0x0,0x0,0x0};//待测频率计数 14 data ulong NSS,NXX,MM,F; 15 data ulong temp1,temp2; 16 data uchar shuju[]={0,0,0,0,0,0,0,0};//数码管数据 17 sbit CLR = P2^3; 18 sbit SEL2 = P2^2; 19 sbit SEL1 = P2^1; 20 sbit SEL0 = P2^0; 21 sbit CL = P2^4; 22 sbit START = P2^7; 23 //int i,j,k,l; 24 char *pNS; //清零 25 char *pNSS; 26 char *pNX; 27 char *pNXX; 28 29 void delay(uint x); 30 void display(); 31 void operation(); 32 void outdata(); 33 34 long powcyc(long c1,long c2) 35 { 36 long c3=1; 37 uchar ii; 38 for(ii=0;ii

数字频率计的设计

长安大学 电子技术课程设计 数字频率计的设计 专业: 班级: 姓名 指导教师: 日期:

目录 引言 第一章系统概述 一、设计方案的选择 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 附录

引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1) 计数值N1 被测信号 标准闸门 T 图 1-1-1 测频法测量原理 设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:δf1=(f1-f)/f=1/f 从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

高精度单片机频率计的设计

《综合课程设计》 一.数字频率计的设计 姓名:万咬春学号2005142135 一、课程设计的目的 通过本课程设计使学生进一步巩固光纤通信、单片机原理与技术的基本概念、基本理论、分析问题的基本方法;增强学生的软件编程实现能力和解决实际问题的能力,使学生能有效地将理论和实际紧密结合,拓展学生在工程实践方面的专业知识和相关技能。 二、课程设计的内容和要求 1.课程设计内容 (硬件类)频率测量仪的设计 2.课程设计要求 频率测量仪的设计 要求学生能够熟练地用单片机中定时/计数、中断等技术,针对周期性信号的特点,采用不同的算法,编程实现对信号频率的测量,将测量的结果显示在LCD 1602 上,并运用Proteus软件绘制电路原理图,进行仿真验证。 三.实验原理 可用两种方法测待测信号的频率 方法一:(定时1s测信号脉冲次数) 用一个定时计数器做定时中断,定时1s,另一定时计数器仅做计数器使用,初始化完毕后同时开启两个定时计数器,直到产生1s中断,产生1s中断后立即关闭T0和T1(起保护程序和数据的作用)取出计数器寄存器内的值就是1s内待测信号的下跳沿次数即待测信号的频率。用相关函数显示完毕后再开启T0和T1这样即可进入下一轮测量。 原理示意图如下:

实验原理分析: 1.根据该实验原理待测信号的频率不应该大于计数器的最大值65535,也就是说待测信号应小于65535Hz。 2.实验的误差应当是均与的与待测信号的频率无关。 方法二(测信号正半周期) 对于1:1占空比的方波,仅用一个定时计数器做计数器,外部中断引脚作待测信号输入口,置计数器为外部中断引脚控制(外部中断引脚为“1”切TRx=1计数器开始计数)。单片机初始化完毕后程序等待半个正半周期(以便准确打开TRx)打开TRx,这时只要INTx (外部中断引脚)为高电平计数器即不断计数,低电平则不计数,待信号从高电平后计数器终止计数,关闭TRx保护计数器寄存器的值,该值即为待测信号一个正半周期的单片机机器周期数,即可求出待测信号的周期:待测信号周期T=2*cnt/(12/fsoc) cnt为测得待测信号的一个正半周期机器周期数;fsoc为单片机的晶振。所以待测信号的频率f=1/T。 原理示意图如下: 实验原理分析: 1.根据该实验原理该方法只适用于1:1占空比的方波信号,要测非1:1占空比的方波信号 2.由于有执行f=1/(2*cnt/(12/fsoc))的浮点运算,而数据类型转换时未用LCD 浮点显示,故测得的频率将会被取整,如1234.893Hz理论显示为1234Hz,测 得结果会有一定程度的偏小。也就是说测量结果与信号频率的奇偶有一定关 系。 3.由于计数器的寄存器取值在1~65535之间,用该原理时,待测信号的频率小于单片机周期的1/12时,单片机方可较标准的测得待测信号的正半周期。故用 该原理测得信号的最高频率理论应为fsoc/12 如12MHZ的单片机为1MHz。 而最小频率为f=1/(2*65535/(12/fsoc))如12MHZ的单片机为8Hz。 四.实验内容及步骤 1. 仿真模型的构建 数字方波频率计的设计总体可分为两个模块。一是信号频率测量,二是将测得的频率数据显示在1602液晶显示模块上。因此可搭建单片机最小系统构建构建频率计的仿真模型。原理图,仿真模型的总原理图如下:

计算机毕业论文_基于FPGA的等精度频率计的设计与实现

目录 前言...............................................................1 第一章 FPGA及Verilog HDL..........................................2 1.1 FPGA简介.....................................................2 1.2 Verilog HDL 概述.............................................2 第二章数字频率计的设计原理........................................3 2.1 设计要求.....................................................3 2.2 频率测量.....................................................3 2.3.系统的硬件框架设计..............................................4 2.4系统设计与方案论证............................................5 第三章数字频率计的设计............................................8 3.1系统设计顶层电路原理图........................................8 3.2频率计的VHDL设计.............................................9 第四章软件的测试...............................................15 4.1测试的环境——MAX+plusII.....................................15 4.2调试和器件编程...............................................15 4.3频率测试.....................................................16

数字频率计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目:数字频率计 初始条件: 具备电子电路的基础知识和设计能力;具备查阅资料的基本方法;熟悉常用的电子器件;熟悉电子设计常用软件的使用; 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、设计频率检测电路; 2、测量信号与TTL电平兼容,频率范围:0HZ~100KHZ; 3、数码管显示频率; 4、掌握数字电路的设计及调试方法; 5、撰写符合学校要求的课程设计说明书。 时间安排: 时间一周,其中2天原理设计,3天电路调试 指导教师签名:年月日系主任(或责任教师)签名:年月日

摘要 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N 时,则被测信号的频率f=N/T。 本文介绍了一种测量仅与TTL电平兼容的信号的数字频率计,频率测量中直接测量的数字频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。其频率的测量范围为0HZ到100KHZ,此次设计频率计思路主要是利用计数原理,通过一定的时基控制电路能在1秒钟以内让计数器工作于计数状态,最后在1秒钟内将计数值进行锁存﹑输出﹑显示,即可得到待测信号频率,涉及到的集成芯片主要有十进制计数芯片74LS90﹑边沿控制锁存器74LS273﹑用于数码管显示的译码器CD4511﹑以及时基芯片555和双可重复单稳态触发器74LS123,共同完成了数字频率计的设计。 关键词:TTL电平兼容信号,计数,频率计

目录 摘要 1.数字频率计的设计总体方案 (1) 1.1数字频率计的简介 (1) 1.2电路方案设计 (2) 1.3方案的比较及选取 (4) 2.电路模块设计 (4) 2.1计数电路 (5) 2.2显示电路 (5) 2.3计时电路 (5) 3.系统总体电路图 (7) 3.1计数显示部分电路 (7) 3.2闸门逻辑控制电路 (8) 4.软件仿真图 ............................................................................................. 错误!未定义书签。 5.实物调试 (11) 5.1实物制作 (11) 5.2实物显示结果图 (11) 5.3误差分析 (11) 6.心得体会 (12) 7.参考文献 (14) 附录:原件清单 (14)

等精度数字频率计的设计

等精度数字频率计的设计 李艳秋 摘要 基于传统测频原理的频率计的测量精度将随着被测信号频率的下降而降低,在实用中有很大的局限性,而等精度频率计不但有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。运用等精度测量原理,结合单片机技术设计了一种数字频率计,由于采用了屏蔽驱动电路及数字均值滤波等技术措施,因而能在较宽定的频率范围和幅度范围内对频率,周期,脉宽,占空比等参数进行测量,并可通过调整闸门时间预置测量精度。选取的这种综合测量法作为数字频率计的测量算法,提出了基于FPGA 的数字频率计的设计方案。给出了该设计方案的实际测量效果,证明该设计方案切实可行,能达到较高的频率测量精度。 关键词等精度测量,单片机,频率计,闸门时间,FPGA Ⅱ

ABSTRACT Along with is measured based on the traditional frequency measurement principle frequency meter measuring accuracy the signalling frequency the drop but to reduce, in is practical has the very big limitation, but and so on the precision frequency meter not only has teaches the high measuring accuracy, moreover maintains the constant test precision in the entire frequency measurement region. Using and so on the precision survey principle, unified the monolithic integrated circuit technical design one kind of numeral frequency meter, because has used the shield actuation electric circuit and technical measure and so on digital average value filter, thus could in compared in the frequency range and the scope scope which the width decided to the frequency, the cycle, the pulse width, occupied parameter and so on spatial ratio carries on the survey, and might through the adjustment strobe time initialization measuring accuracy. Selection this kind of synthesis measured the mensuration took the digital frequency meter the survey algorithm, proposed based on the FPGA digital frequency meter design proposal. Has produced this design proposal actual survey effect, proved this design proposal is practical and feasible, can achieve the high frequency measurement precision Keywords Precision survey, microcontroller, frequency meter, strobe time,field programmable gate array Ⅱ

等精度频率计的实验报告

数字频率计 摘要 以FPGA(EP2C8Q208C8N)为控制核心设计数字频率计,设计采用硬件描述语言Verilog 该作品主要包括FPGA控制、数码管模块、信号发生器、直流电源模块、独立按键、指示灯模块。主要由直流电源供电、数字信号发生器输出信号,FPGA 控制信号的采集、处理、输出,数码管显示数据,按键切换档位,指示灯显示档位。作品实现了测频、测周、测占空比,能准确的测量频率在10Hz 到100kHz之间的信号。 关键字: 频率计等精度 FPGA (EP2C8Q208C8N)信号发生器Verilog语言

一、系统方案论证与比较 根据题目要求,系统分为以下几个模块,各模块的实现方案比较选择与确定如下: 1.主控器件比较与选择 方案一:采用FPGA(EP2C8Q208C8N)作为核心控制,FPGA具有丰富的I/O 口、内部逻辑和连线资源,采集信号速度快,运行速度快,能够显示大量的信息,分频方便。 方案二:采用SST89C51作为主控器件,虽然该款单片机较便宜,但运行速度较慢,不适合对速度有太大要求的场合,并且不带AD,增加了外围电路。 综上所述,主控器件我选择方案一。 2.测量方法的比较与选择 方案一:采用测频法测量。在闸门时间内对时钟信号和被测信号同时计数,由于在闸门闭合的时候闸门时间不能是被测信号的整数倍,导致计数相差为一个被测信号时间,所以测频法只适合频率较高的测量。 方案二:采用测周法测量。用被测信号做闸门,在闸门信号内对时钟信号计数,由于在闸门闭合的时候闸门时间不能是时钟信号的整数倍,导致计数相差为一个时钟信号时间,所以测周法只适合较低频率的测量。 方案三:采用等精度法和测周法结合的方法。用等精度发测量1KHZ以上的频率,测周法测量1KHZ一下的频率。这种方法取长补短,既能准确的测高频又能测低频。 综上所述,测量方法我选用方案三。 3. 界面显示方案的选择 方案一:采用数码管显示,控制程序简单,价格便宜,显示直观。 方案二:液晶5110,虽然体积小,可以显示各种文字,字符和图案。 考虑到数码管完全可以满足数据显示要求,所以显示部分我选用方案一。 二、理论分析与计算 1、键盘设计 系统中我们采用独立键盘,用2个I/O控制2个键。原理是将2个I/O口直接接键盘的2个引脚,低电平有效,这种键盘的优点反应的速率快。 2、计算公式 (1)测频: 1khz以上:被测频率=时钟频率*(被测频率计数/时钟频率计数) 1khz以下:被测频率=时钟频率/(时钟频率在被测信号高电平计数+时钟频率在被测信号低电平计数)

简易频率计课程设计

目录 1 技术要求及系统结构 (1) 1.1技术要求 (1) 1.2系统结构 (1) 2设计方案及工作原理 (2) 2.1 算法设计 (2) 2.2 工作原理 (3) 3组成电路设计及其原理 (6) 3.1时基电路设计及其工作原理 (6) 3.2闸门电路设计 (7) 3.3控制电路设计 (8) 3.4小数点控制电路 (9) 3.5整体电路 (10) 3.6 元件清单 (10) 4设计总结 (11) 参考文献 (11) 附录1 (12) 附录2 (17)

摘要 简易数字频率计是一种用四位十进制数字显示被测信号频率(1Hz—100KHz)的数字测量仪器.它的基本功能是测量正弦波,方波,三角波信号,有四个档位(×1,×10,×100,×1000),并能使用数码管显示被测信号数据,本课程设计讲述了数字频率计的工作原理以及其各个组成部分,记述了在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及在设计过程中的分析,以确保设计出的频率计成功测量被测信号。 关键词:简易数字频率计十进制信号频率数码管工作原理 1技术要求及结构 本设计可以采用中、小规模集成芯片设计制作一个具有下列功能的数字频率测量仪。 1.1技术要求 ⑴要求测量频率范围1Hz-100KHz,量程分为4档,即×1、×10、×100、×1000。 ⑵要求被测量信号可以是正弦波、三角波和方波。 ⑶要求测试结果用数码管表示出来,显示方式为4位十进制。 1.2 系统结构 数字频率计的整体结构要求如图1-1所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 图1-1 数字频率计系统结构框图 2 设计方案及工作原理 2.1 算法设计

数字频率计的设计

电子测量实训报告 姓名:X X X 院系:X X X X 学院 专业:07电子信息工程 学号: 指导教师: 完成时间: 2010 年 9月 7 日

目录 第1章引言 (3) 1.1数字频率计的概述 (3) 1.2设计任务 (3) 1.3设计目的 (4) 1.4设计方案 (4) 1.5频率计设计原理 (5) 第2章系统硬件设计 (5) 2.1电路原理图设计 (5) 2.2单元电路介绍 (6) 2.3 74LS90引脚及其说明 (8) 2.4 74LS47的介绍 (9) 2.5 74LS123的介绍 (10) 第3章硬件调试 (11) 第4章实训小结 (10) 第5章附录 (13) 附录1 硬件电路原理图和连接图 (13) 附录2 元器件清单 (14) 附录3 参考文献 (14)

数字频率计的设计 摘要:本实训报告是关于数字频率计设计的简要介绍。采用直接测频法的方案来完成本次实训设计。其组成部分有时基电路、闸门电路、逻辑控制电路以及可控制的计数、译码、显示电路。该设计主要用于数码管的显示功能,在四位LED数码管上对输入信号频率进行显示,并能够准确运行。 关键词:数字频率计、计数脉冲、单稳态电路、闸门电路、锁存、频率显示 第1章引言 1.1数字频率计的概述 数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波,方波,三角波和尖脉冲信号的频率,而且还可以测量他们的周期。数字频率计在测量其他物理量如转速、振荡频率等方面获得广泛应用。所谓频率,就是周期性信号在单位时间(1s)里变化的次数。若在一定时间间隔T内测得的这个周期性信号的重复变化次数N,则其频率可表示为:f =N/T。 1.2设计任务 设计一个数字频率计系统,频率在四位数码管上进行显示,如下图。从左到右依次为频率的千位、百位、十位、个位。 设计要求: (1)位数: 能计4位十进制数,计数位数主要取决于被测信号频率的高低,如果被测信号频率较高,精度又较高,可相应增加显示位数。 (2)量程: 最大读数为9999Hz,闸门信号的采样时间为1s。 (3)显示方式: 用七段LED数码管显示读数,做到显示稳定、不跳变。

等精度数字频率计的设计

等精度数字频率计的设计 (Design of equal precision digital frequency meter)作者:李欢(电子工程学院光信息科学与技术 1103班) 指导教师:惠战强 摘要:伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。电子设计自动化是一种实现电系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。 数字频率计是一种基本的测量仪器。它被广泛应用于航天、电子、测控等领域。采用等精度频率测量方法具有测量精度保持恒定,不随所测信号的变化而变化的特点。本文首先综述了EDA技术的发展概况,FPGA/CPLD开发的涵义、优缺点,VHDL语言的历史及其优点,然后介绍了频率测量的一般原理。 关键字:电子设计自动化;VHDL语言;频率测量;数字频率计 Abstract The Electronic Design Automation (EDA) technology has become an important design method of analog and digital circuit system as the integrated circuit's growing. The EDA technology, which is closely connected with the electronic technology, microelectronics technology and computer science, can be used in designing electronic product automatically. Digital frequency meter is a basic measuring instruments. It is widely used in aerospace, electronics, monitoring and other fields. With equal precision frequency measurement accuracy to maintain a constant, and not with the measured signal varies.We firstly present some background information of EDA, FPGA/CPLD and VHDL;then introduced the general principle of frequency measurement. Keywords: Electronic Design Automation,VHDL, Frequency measurement,digital frequency meter.

单片机简易频率计课程设计

前言 (3) 一、总体设计 (4) 二、硬件设计 (6) AT89C51单片机及其引脚说明: (6) 显示原理 (8) 技术参数 (10) 电参数表 (10) 时序特性表 (11) 模块引脚功能表 (12) 三、软件设计 (12) 四、调试说明 (15) 五、使用说明 (17) 结论 (17) 参考文献 (18)

附录 (19) Ⅰ、系统电路图 (19) Ⅱ、程序清单 (20)

前言 单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。因此,单片机的学习、开发与应用在生活中至关重要。 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行缓慢,而且测量频率的范围比较小.考虑到上述问题,本论文设计一个基于单片机技术的数字频率计。首先,我们把待测信号经过放大整形;然后把信号送入单片机的定时计数器里进行计数,获得频率值;最后把测得的频率数值送入显示电路里进行显示。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件,并对硬件电路进行了仿真。

一、总体设计 用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量. 所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率f x。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s.闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 本系统采用测量频率法,可将频率脉冲直接连接到AT89C51的T0端,将T/C1用做定时器。T/C0用做计数器。在T/C1定时的时间里,对频率脉冲进行计数。在1S定时内所计脉冲数即是该脉冲的频率。见图1: 图1测量时序图 由于T0并不与T1同步,并且有可能造成脉冲丢失,所以对计数器T0做一定的延时,以矫正误差。具体延时时间根据具体实验确定。 根据频率的定义,频率是单位时间内信号波的个数,因此采用上述各种方案

数字频率计

燕山大学EDA课程设计报告书 题目:数字频率计

一、设计题目及要求 题目名称:数字频率计 要求: 1.输入为矩形脉冲,频率范围0~999KHz; 2.用3 位数码管显示;只显示最后的结果,不要将计数过程显示出来; 3.单位为Hz 和KHz 两档,自动切换,要有档位指示。 4. 超出测量范围,显示3 条短线“- - -”,且发出间隔为1s 的蜂鸣报警。 二、设计过程及内容 测量脉冲信号频率就是测量在单位时间内所产生的脉冲个数,所以在1S 时间内计数器所记录的结果,就是被测信号的频率。测量范围分别是0~999HZ、1~999kHZ,在kHZ档时,LED灯亮。 我们将数字频率计分为六个模块,分别是366分频模块,二分频模块,计数模块,选择模块,扫描模块,报警模块。 1、总体电路图如下: 右侧saomiao模块的输出端ABCDEF与计数器高位的进位输出端取非后相

与,再接接入实验箱,G和进位输出端相或,再接实验箱,实现在超出量程时显示“---”。 2、各部分电路图及功能 (1)分频模块 所选实验箱时钟信号频率为366HZ,为产生周期为两秒、占空比为1:2的时钟信号,需将366HZ的信号先经过366分频,产生周期为1HZ的信号,再经过二分频产生占空比符合要求的时钟信号。 366分频模块电路图: 功能说明:本模块使用三片74160级联构成366进制计数器,将输入的366HZ信号分频为1HZ,占空比为1:366的信号。 二分频模块电路图; 功能说明:使用边沿D触发器构成二分频,在输入信号的上升沿输出一秒的高电平或低电平,形成占空比1:2的时钟信号。

(2)计数器模块 功能说明:本模块共使用8片74160,上部的7片74160构成十进制计数器,左侧的三片计数器实现档位0~999HZ的计数,超出范围后将会有高电平的进位输出,使LED端产生周期为1s的脉冲,即LED灯进行1s的闪烁,标志着此时档位为kHZ。右侧三片实现kHZ档位计数,当低三位最后一个计数器产生进位时,高三位的输出端取或时SEL就会输出高电平,连接二选一模块进行档位选择。当高位输出产生进位时,最后一块产生报警信号的输出DD,为报警模块提供输入。 (3)数据选择器模块 功能说明:输出的档位由输入SEL控制,当SEL=0时,数据选择器选择A 输出即高三位KHZ档;当SEL=1时选择B输出即低三位HZ档。并利用74273在计数1s后输入数据,进行锁存,实现只显示最后的结果,不显示计数过程。其中1S的时钟信号加非门输出端,实现了数据的保存和输出。

频率计测试中的精度计算

频率计测试中的频率计测试中的精度精度精度计算计算 1. 背景 在测试测量中测试精度一直是最为关心的问题。频率计作为高精度的频率和时间测试仪表,测试精度高于普通的频谱仪和示波器,所以测试精度的计算就更加为人关注。影响测试精度,或者说产生误差的因素很多,而其中最主要的因素是仪表内部时基稳定度、分辨率、触发精度及内部噪声等。频率计可以用来测试如频率、周期、相位、脉冲等,而其中频率和周期的测试占有绝大部分比例,本文主要讨论频率和周期的测试精度计算问题。 2. 频率和周期的测试精度 频率和周期互为倒数,所以在频率计的测试中,频率和周期的误差计算方法是一样的。从测试误差的产生来说主要有两类,一类是随机误差,一类是系统误差。随机误差主要由于如噪声或者一些随机因素产生的误差,很难消除。系统误差主要是由于测试方法、仪表设置或者仪表性能引起的误差。不同的设备制造商都有自己的关于误差的计算方法,大同小异,本文论述泰克FCA3000系列频率计测试误差的计算方法。 总误差: (U tot) ( 1 ) rand uncert : 随机误差 syst uncert: 系统误差 在测试频率或者周期时,我们可以通过以下公式计算随机误差和系统误差: 随机误差的计算随机误差的计算:: 当测试时间 Measuring Time < 200ms 时: ( 2 ) 当测试时间 Measuring Time > 200ms 时: ( 3 ) N = 800/Measuring Time (测试时间),同时 6 <= N <= 1000 并且 N < (Freq/2)*Measuring Time - 2 其中: Eq = 100 ps ( RMS) , Ess = Start Trigger Error

基于FPGA的等精度频率计

光电与通信工程学院课程设计报告书 课设名称:等精度频率计 年级专业及班级: 姓名: 学号:

一、课程设计目的 1、进一步熟悉 Quartus Ⅱ的软件使用方法,熟悉 keil 软件使用; 2、熟悉单片机与可编程逻辑器件的开发流程及硬件测试方法; 3、掌握等精度频率计设计的基本原理。 4、掌握独立系统设计及调试方法,提高系统设计能力。 实验设备 EDA最小系统板一块(康芯)、PC机一台、示波器一台、信号发生器一台、万用表一个。 二、设计任务 利用单片机与FPGA设计一款等精度频率计,待测脉冲的检测及计数部分由FPGA实现,FPGA的计数结果送由单片机进行计算,并将最终频率结果显示在数码管上。要求该频率计具有较高的测量精度,且在整个频率区域能保持恒定的测试精度,具体指标如下: a)具有频率测试功能:测频范围 100Hz~5MHz。测频精度:相对误差恒为基准频率的万分之一。 b)具有脉宽测试功能:测试范围 10μs~1s,测试精度:0.1μs。 c)具有占空比测试功能:测试精度1%~99%。 d)具有相位测试功能。 (注:任务a 为基本要求,任务 b、c、d 为提高要求) 三、基本原理 基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,在实用中有较大的局限性,而等精度频率计不但具有较高的测量精度,而且在整个频率区域能保持恒定的测试精度。 3.1 等精度测频原理 等精度频率计主控结构如图 1 所示

预置门控信号 CL 选择为 0.1~1s 之间(通过测试实验得出结论:CL 在这个 范围内选择时间宽度对测频精度几乎没有影响)。BZH 和 TF 分别是 2 个高速计数器,BZH 对标准频率信号(频率为 Fs)进行计数,设计数结果为 Ns;TF 对被测信号(频率为Fx)进行计数,计数结果为 Nx,则有 MUX64-8 模块并不是必须的,可根据实际设计进行取舍。分析测频计测控时序,着重分析 START的作用,完成等精度频率计设计。 3.2 FPGA 模块 FPGA模块所要完成的功能如图 1 所示,由于单片机的速度慢,不能直接测量高频信号,所以使用高速 FPGA 为测频核心。100MHZ 的标准频率信号由FPGA 内部的 PLL 倍频实现,待测信号 TCLK 为方波,由信号发生器给出待测方波信号(注意:该方波信号带有直流偏置,没有负电压,幅值3.3V)。预制

简易频率计设计(数电课设)

简易频率计设计 1、设计目的 综合运用数字电子技术相关知识设计具有指定用途的数字电路,学会由分立器件与集成电路组成电子电路的方法。 2、设计任务 设计一简易频率计,要求如下: (1)频率测量范围:0—99Hz (2)输入电压幅度:300mv~5v (3)输入信号波形:方波、正弦波、三角波等周期信号 (4)显示位数:2位 3、设计要求 (1)合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图; (2)选择常用的电器元件(说明电器元件选择的过程和依据);(3)对设计的电路进行仿真,验证各性能指标; (4)按照规范要求,按时提交课程设计报告,并完成答辩。 4、参考资料 (l)李立主编. 电工学实验指导. 北京:高等教育出版社,2005(2)高吉祥主编. 电子技术基础实验与课程设计. 北京:电子工业出版社,2004 (3)谢云等编著. 现代电子技术实践课程指导. 北京:机械工业出版社,2003

目录 一、设计方案的选择(原理) (3) 二、电路设计计算与分析 (4) 1.单元模块的设计 (4) (1)整形电路 (4) (2)时基电路 (6) (3)计数电路 (8) (4)锁存电路 (9) (5)译码显示电路 (9) 2.电路中集成器件 (10) (1)555定时器 (11) (2)74HC160 (12) (3)74HC373 (13) (4)74LS48 (13) 3.电路参数分析 (15) 三、总结及心得 (16) 四、附录: (17) 五、参考文献 (19)

一、设计方案的选择(原理) 运用555定时器构成的多谐振荡器电路,使其产生时钟脉冲,即为有一定频率或周期的方波信号,再使用一个555定时器构成的施密特电路对待测波形进行调整,无论待测信号为方波、三角波还是正弦波都可以调成同一周期的方波信号,然后用一个与门将两个555产生的不同方波连接起来再与两个计数器连接,目的是为了当计数器在多谐震荡器输出一秒的高电平的情况下使计数器正确计数一秒内待测信号的高电平出现数目。计数器的输出连接一个锁存器,能将所需数字即待测信号的频率正确锁定,最后是译码器和七段显示器,显示出正确的频率。如果一次循环结束,将电源断开即计数结束。方案的原理如图1.1所示: 图 1.1 设计方案的方框图

数字频率计实验报告

大连理工大学城市学院数字电路与系统课程设计设计题目:数字频率计 学院:电子与自动化学院 专业:自动化 学生:揣智涵 同组人:王晓宁周英茹 指导教师:于海霞 完成日期: 2012年3月26日

目录 第一章设计任务 1.1项目名称 1.2项目设计说明 1.2.1设计任务和要求 1.2.2进度安排 1.3项目总体功能模块图 第二章需求分析 2.1问题基本描述 (要求分析得出整个系统流程图) 2.2系统模块分解及各模块功能的基本要求第三章设计原理 3.1 设计原理 3.2 MAXPLUSII介绍 第四章系统功能模块设计 4.1 FEN模块 4.1.1 FEN模块流程图 4.1.2 输入输出引脚及其功能说明 4.1.3 程序代码实现 4.2 SEL模块 4.2.1 SEL模块流程图 4.2.2输入输出引脚及其功能说明 4.2.3程序代码实现

4.3 CORNA模块 4.3.1 CORNA模块流程图 4.3.2 输入输出引脚及其功能说明 4.3.3 程序代码实现 4.4 LOCK模块 4.4.1 LOCK模块流程图 4.4.2 输入输出引脚及其功能说明 4.4.3 程序代码实现 4.5 CH模块 4.5.1 输入输出引脚及其功能说明 4.5.2 程序代码实现 4.6 DISP模块 4.6.1 输入输出引脚及其功能说明 4.6.2 程序代码实现 第五章调试并分析结果 5.1输入说明 5.2预计输出 5.3测试结果记录 5.4测试结果分析 第六章结论 心得体会 参考文献

第一章设计任务 1.1 项目名称:数字频率计 1.2 项目设计说明 1.2.1 设计任务和要求 此频率计共分4档: 一档:0~9999Hz; 二档:10~99.99kHZ; 三档:100.0~999.9kHz;, 四档:1.000~999MHz; 在换挡的设计方面,此程序突破了以往改变闸门时间的方法,使自动换挡的实现更加简单可靠。 1.2.2 进度安排 第一节课:画出模块及程序流程图 第二节课:调试各模块程序使其无误 第三节课:连接整个程序并下载到试验箱是数字频率计的功能实现 第四节课:改进程序设计实现创新,然后完成课程设计报告 第五节课:完成答辩 1.3 项目总体功能模块图如下

相关主题
文本预览
相关文档 最新文档