当前位置:文档之家› 数字实验二 组合逻辑电路的分析及设计

数字实验二 组合逻辑电路的分析及设计

实验二组合逻辑电路的分析与设计

一、实验目的

1、掌握组合逻辑电路的分析与设计方法。

2、加深对基本门电路使用的理解。

二、实验设备与器件

1、数字逻辑实验箱

2、器件

74LS00 2片

74LS20 1片

三、实验原理

1、组合逻辑电路是最常用的数字电路,在电路结构上基本是由逻辑门电路组成。常见的典型电路有编码器、译码器、数据选择器、比较器、全加器等。组合逻辑电路的分析,就是找出给定逻辑电路输出和输入之间的关系,从而了解其逻辑功能。一般分析方法如下:

(1)由逻辑图写出各输出端的逻辑表达式;

(2)化简和变换各逻辑表达式;

(3)列出真值表;

(4)根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。

2、组合逻辑电路的设计就是按照具体逻辑命题设计出最简单的组合电路。设计组合逻辑电路的一般步骤与上面相反,方法如下:

(1)分析给定的实际逻辑问题的因果关系,确定输入和输出变量,进行逻辑状态赋值;

(2)根据给定的因果关系,列出真值表;

(3)用卡诺图或代数化简法求出最简的逻辑表达式;

(4)根据表达式,画出逻辑电路图,用标准器件构成电路;

(5)最后,用实验来验证设计的正确性。

四、实验室操作实验内容

1、组合逻辑电路的分析。

(1)测试图4.1所示电路逻辑功能。A、B、C为输入变量,F为输出变量。

1)由图写出输出端F的逻辑表达式:F=_________________________。

2)对逻辑表达式进行化简:F=_________________________。

3)按F的最简表达式列出真值表。填入表4.1中。

4)根据真值表确定此电路的功能为:_____________________________。

5)按图4.1在实验箱上连接电路,A、B、C接实验箱的逻辑电平开关,F接发光二极管。按表4.1改变输入端的逻辑状态,将实测结果填入表4.1中。比较实测值和理论值是否一致。

A

C

图4.1 组合逻辑电路

2、组合逻辑电路的设计

(1)设计一交通报警控制系统

用与非门设计一个交通报警控制电路。交通信号灯有红、绿、黄3种,当3种灯分别单独工作或黄、绿灯同时工作时属正常情况,其他情况均属故障,出现故障时输出报警信号。分析过程如下:

1)分析问题,确定输入输出变量。

设红、绿、黄灯为控制电路的输入,分别用A、B、C表示,灯亮时其值为1,灯灭时其值为0;输出报警信号用F表示,灯正常工作时其值为0,灯出现故障时其值为1。F即为控制电路的输出信号。

2)根据以上分析可列出真值表。

3)由真值表写出函数表达式:F=________________________________________。

4)化简后得到最简表达式:F=___________________________。

5)根据表达式画出电路图。

6)按电路图在实验箱连线,测试其逻辑功能。

(2)设计一个火灾报警控制系统

要求该系统设有烟感、温感和紫外光感三种类型的火灾报警器。为防止误报,只有当其中两种或两种以上类型的探测器发出火灾信号时,报警系统才产生报警控制信号。分析过程如下:

1)由于各探测器发生的探测信号只有两种情况:一种是高电平,表示有火灾;一种是低电平,表示无火灾。报警控制信号也只有两种可能:一种是高电平,表示有火灾报警;一种是低电平,表示无火灾报警。我们可将烟感、温感和紫外光感三种探测器发出的信号,做为报警电路的输入,分别用A、B、C表示;将报警控制信号作为报警电路的输出,用F表示。

2)根据以上分析列出真值表。

3)由真值表写出函数表达式:F=________________________________________。

4)化简后得到最简表达式:F=___________________________。

5)根据表达式画出电路图。

6)按电路图在实验箱连线,测试逻辑功能。

五、实验报告要求

1.写出各实验的设计过程,画出电路图。

2.分析实验中出现的问题。

3.总结组合逻辑电路分析和设计体会。

数电实验组合逻辑电路

实验二组合逻辑电路 一、实验目的 1.掌握组合逻辑电路的分析方法 2.掌握组合逻辑电路的设计方法 二、实验仪器 数字电路实验台、数字万用表、74ls00,74ls20 三、实验原理 1.组合逻辑电路的分析方法 组合逻辑电路时最常见的逻辑电路,可以用一些常用的门电路组合成具有其他功能的门电路。其分析方法是根据所给的逻辑电路,写出其输入和输出之间的逻辑函数表达式或真值表,从而确定该电路的逻辑功能。 2.组合逻辑电路的设计方法 组合逻辑电路是使用中、小规模集成电路来设计组合电路是最常见的逻辑电路,其分析方法是根据所给的组合逻辑电路,写出其输入与输出之间的逻辑函数表达式或者真值表,从而确定该电路的逻辑功能。组合电路设计的一般步骤如图所示: 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2、组合逻辑电路设计举例 用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“1”。 (1)设计步骤:根据题意列出真值表如表所示,再填入卡诺图表中。

(2)根据真值表,画卡诺图 (3)由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z =ABC +BCD +ACD +ABD =ABC ACD BCD ABC ??? 根据逻辑表达式画出用“与非门”构成的逻辑电路如图所示。 多数表决电路 74LS20引脚图 3.用实验验证逻辑功能 在实验装置适当位置选定三个14P 插座,按照集成块定位标记插好集成块。 按图接线,输入端A 、B 、C 、D 接至逻辑开关输出插口,输出端Z 接逻辑电平显示输入插口,按真值表(自拟)要求,逐次改变输入变量,测量相应的输出值,验证逻辑功能,与其进行比较,验证所设计的逻辑电路是否符合要求。 三、实验内容 1.设计两个2位二进制码比较器,试用最少的与非门实现改功能,要求A=B 时输出为1。 2.一火灾报警系统,设有烟感、温感和紫外光感三种类型的火灾探测器。为了防止误报警,

组合逻辑电路的设计实验报告

竭诚为您提供优质文档/双击可除组合逻辑电路的设计实验报告 篇一:数电实验报告实验二组合逻辑电路的设计 实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a)TDs-4数电实验箱、双踪示波器、数字万用表。 b)参考元件:74Ls86、74Ls00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2)组合逻辑电路的功能特点和结构特点. 3)中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。

2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中Ai、bi、ci分别为一个加数、另一个加数、低位向本位的进位;si、ci+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。 3)将上面两逻辑表达式转换为能用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)实现的表达式。

数电实验2-组合逻辑电路

实验报告 课程名称:电工与电子技术II 实验名称:组合逻辑电路 班级 学号 姓名 指导教师 2020 年5 月 18日 教务处印制

一、实验预习(准备)报告 1、实验目的 1)熟练掌握用门电路设计组合逻辑电路的方法。 2)掌握二进制译码器 74LS138 的原理与应用方法 3)通过实验论证设计的正确性 2、实验相关原理及内容 实验原理: 使用集成与非门来设计组合电路是常见的逻辑电路。首先根据设计任务的要 求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式,并转换为与非-与非式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。 用 A 、 B 、Cin 分别表示一位全加器的两个加数和低位来的进位,则根据全加器的逻辑功能及真值表可知,全加器的和 S ,进位输出Cout 经化简后可由下式表示: S =A'B'C in+A'BC i'n+AB'C i'n+ABC in C out=A'BC in+AB'C in+ABC i'n+ABC in (1)用与非门设计一位全加器 实验电路图: B C out 图2.2一位全加器电路 理论分析计算:

S=A'B'C in+A'BC i'n+AB'C i'n+ABC in =(A'B+AB')C'+(A'B+AB')'C(2.3) in in 其中设Z=A'B+AB'=((A'B)'?(AB')')'=(((AB)'?B)'?((AB)'?A)')',则有 S=ZC i'n+Z'C in=((ZC i'n)'?(Z'C in)')' =(((ZC)'?Z)'?((ZC)'?C)')'(2.4) in in in 同理可得 C out=(A'B+AB')C in+AB (2.5) =((ZC in)'?(AB)')' (2)74LS138 功能测试 逻辑符号: 发光二极管 L0L1L2L3L4L5L6L7 Y0Y1Y2Y3Y4Y5Y6Y7 74LS138 A0A1A2S1S2S3 k0k1k2k6k7k8 逻辑电平开关 图2.374LS138功能测试电路 (3)用 74LS138 和 74LS20 实现 1 位全加器 实验电路图: 理论分析计算: S=A'B'C in+A'BC i'n+AB'C i'n+ABC in =Y+Y+Y+Y=(Y'Y'Y'Y')'(2.6) 12471247

数字实验二 组合逻辑电路的分析及设计

实验二组合逻辑电路的分析与设计 一、实验目的 1、掌握组合逻辑电路的分析与设计方法。 2、加深对基本门电路使用的理解。 二、实验设备与器件 1、数字逻辑实验箱 2、器件 74LS00 2片 74LS20 1片 三、实验原理 1、组合逻辑电路是最常用的数字电路,在电路结构上基本是由逻辑门电路组成。常见的典型电路有编码器、译码器、数据选择器、比较器、全加器等。组合逻辑电路的分析,就是找出给定逻辑电路输出和输入之间的关系,从而了解其逻辑功能。一般分析方法如下: (1)由逻辑图写出各输出端的逻辑表达式; (2)化简和变换各逻辑表达式; (3)列出真值表; (4)根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。 2、组合逻辑电路的设计就是按照具体逻辑命题设计出最简单的组合电路。设计组合逻辑电路的一般步骤与上面相反,方法如下: (1)分析给定的实际逻辑问题的因果关系,确定输入和输出变量,进行逻辑状态赋值; (2)根据给定的因果关系,列出真值表; (3)用卡诺图或代数化简法求出最简的逻辑表达式; (4)根据表达式,画出逻辑电路图,用标准器件构成电路; (5)最后,用实验来验证设计的正确性。

四、实验室操作实验内容 1、组合逻辑电路的分析。 (1)测试图4.1所示电路逻辑功能。A、B、C为输入变量,F为输出变量。 1)由图写出输出端F的逻辑表达式:F=_________________________。 2)对逻辑表达式进行化简:F=_________________________。 3)按F的最简表达式列出真值表。填入表4.1中。 4)根据真值表确定此电路的功能为:_____________________________。 5)按图4.1在实验箱上连接电路,A、B、C接实验箱的逻辑电平开关,F接发光二极管。按表4.1改变输入端的逻辑状态,将实测结果填入表4.1中。比较实测值和理论值是否一致。 A C 图4.1 组合逻辑电路

数电实验二 组合逻辑电路

实验二 组合逻辑电路 一、实验目的 1、熟悉组合逻辑电路的一些特点及一般分析、设计方法。 2、熟悉中规模集成电路典型的基本逻辑功能和简单应用设计。 二、实验器材 1、直流稳压电源、数字逻辑电路实验箱、万用表、示波器 2、74LS00、74LS04、74LS10、74LS20、74LS51、74LS86、74LS138、74LS148、74LS151、 74LS153 三、实验内容和步骤 1、组合逻辑电路分析 (1)图2-1是用SSI 实现的组合逻辑电路。74LS51芯片是“与或非”门(CD AB Y +=), 74LS86芯片是“异或”门(B A Y ⊕=)。建立实验电路,三个输入变量分别用三个 逻辑开关加载数值,两个输出变量的状态分别用两只LED 观察。观察并记录输出变 量相应的状态变化。整理结果形成真值表并进行分析,写出输出函数的逻辑表达式, 描述该逻辑电路所实现的逻辑功能。 (2)图2-2和2-3是用MSI 实现的组合逻辑电路。图2-2中的74LS138芯片是“3-8译码 器”,74LS20芯片是“与非”门(ABCD Y =)图2-3中的74LS153芯片是四选一 数据选择器。建立实验电路,对两个逻辑电路进行分析,列出真值表,写出函数的逻 辑表达式,描述逻辑电路所实现的功能。 图2-1:SSI 组合逻辑电路 图2-2 :MSI 组合逻辑电路(74LS138)

2、组合逻辑电路设计 (1)SSI 逻辑门电路设计——裁判表决电路 举重比赛有三名裁判:一个主裁判A 、两个副裁判B 和C 。在杠铃是否完全举起裁 决中,最终结果取决于至少两名裁判的裁决,其中必须要有主裁判。如果最终的裁决 为杠铃举起成功,则输出“有效”指示灯亮,否则杠铃举起失败。 (2)MSI 逻辑器件设计——路灯控制电路 用74LS151芯片和逻辑门,设计一个路灯控制电路,要求能够在四个不同的地方都 能任意的开灯和关灯。 四、实验结果、电路分析及电路设计方案 1、组合逻辑电路分析 (1)图2-1: 逻辑表达式: ) ()(11i i i i i i i i i i B A C S B A C B A C ⊕⊕=⊕+=-- 逻辑功能: 实现A i 、B i 、C i-1三个一位二进制数 的加法运算功能,即全加器。 (2)图2-2: 逻辑表达式: 7 65317421Y Y Y Y C Y Y Y Y S i ???=???=+ 逻辑功能: 当3-8译码器的输出中有7421Y Y Y Y 、、、中的任意 一个时,S 输出高电平,其他均输出低电平; 当3-8译码器的输出中有7653Y Y Y Y 、、、中的任意 一个时,C i+1输出高电平,其他均输出低电平。 A i B i C i-1 C i S i 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 A B C S C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

数字电路实验二:组合逻辑电路

南昌理工学院实验报告 二OO 年月日 课程名称数字电路实验名称组合逻辑电路的设计 指导教师评定签名 【一实验名称】 组合逻辑电路的设计 【二实验目的】 1.掌握各种逻辑门的应用。 2.掌握组合逻辑电路的一般设计步骤。 3.熟悉几种常用的组合逻辑电路。 【三实验原理和内容】 一、实验原理 组合逻辑电路的设计过程包括: (1)根据要求把一个实际问题转化为逻辑问题。 (2)确定输入变量及输出函数,列出真值表。 (3)进行逻辑化简,得到最简逻辑函数表达式。 (4)画出逻辑图,选择器件构成电路。 (5)检测电路是否正确。 以上几个方面中,第一步最关键,如果题意理解错误,则设计出来的电路就不能符合要求;同时,逻辑函数的化简也是一个重要的环节,通过化简,可以用较少的逻辑门实现相同的逻辑功能,这样一来,就降低成本、节约器件及增加电路可靠性,随着集成电路的发展,化简的意义已经演变成为怎样使电路最佳,所以,设计中必须考虑电路的稳定性,即有无竞争冒险现象,竞争冒险会影响电路的正常工作,如果设计的电路有竞争冒险现象,则需要采用合适的方法予以消除。 常见的中规模组合电路器件很多,本实验主要用小规模门电路来模拟,并验证之。二、实验内容 1.设计一个比较器。试比较两个两位二进制整数,其中A=A1A0,B=B1B0,(1)当A>B时,F1=1; (2)当A=B时,F2=1; (3)当A

实验二组合逻辑电路的分析与设计

实验二:组合逻辑电路分析与设计 姓名: 夕何 【实验目的】 1.掌握组合逻辑电路的分析方法,并验证其逻辑功能。 2.掌握组合逻辑电路的设计方法,并能用最少的逻辑门实现之。 3.熟悉示波器的使用。 【实验仪器及器件】 【实验过程及结果分析】 1.代码转换电路的设计 已知4位输入8421码为表1,4位输出循环码如表2 表1 BCD码表2 GRAY码 D C B A 0 0 0 0 0 0 0 1

将表1中ABCD 作为自变量,表2中3G ~0G 各自作为因变量可得到四张真值表,即可得出3G ~0G 各自与ABCD 的逻辑函数式如下 D G =3 (1) D C G ⊕=2 (2) C B G ⊕=1 (3) 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 3G 2G 1G 0G 0 0 0 0 0 0 1 0 0 1 1 0 0 1 0 0 1 1 0 0 1 1 1 0 1 0 1 0 1 0 0 1 1 0 0 1 1 0 1 1 1 1 1 1 1 1 0 1 0 1 0 1 0 1 1 1 0 0 1 1

B A G ⊕=0 (4) 根据老师要求,将G 2和G 1的逻辑表达式变换为: G 2=((C’D)’(CD’)’)’ (5) G 1=((C’B)’(CB’)’)’ (6) 由函数式(1)(5)(6)(4)可得如图(1)所示电路图: 图(1)AltiumDesigner 本实验电路图 2.实际电路图如图(2)所示

中山大学数电实验二 组合逻辑电路分析与设计

数电实验二组合逻辑电路分析与设计预习报告 1、复习组合逻辑电路的分析方法,对实验中所选的组合电路写出函数式。 设计一个代码转换电路,输入为4位8421码,输出为4位循环码。对应的各位码如下表所示。 由真值表可以得出: G3=B3; G2=B3B2B1B0+ B3B2B1B0+ B3B2B1B0+ B3B2B1B0+ B3B2B1B0+ B3B2B1B0+ B3B2B1B0+ B3B2B1B0 G2的卡诺图如下: 化简得G2= B3B2+ B3B2(即B2和B3取异或) G1的卡诺图如下:

00 01 11 10 00 1 1 01 1 1 11 1 1 10 1 1 化简得G1= B2B1+ B2B1(即B1和B2取异或) G0的卡诺图如下: 00 01 11 10 00 1 1 01 1 1 11 1 1 10 1 1 化简得G0=B1B0+B1B0(即B0和B1取异或) 2、复习组合逻辑电路的设计方法,对实验中要求设计的电路,列出真值表,写出函数式,画出逻辑图,并在图上标明集成块引脚号。 此步借助软件proteus 7.4来完成。 根据逻辑表达式模拟连接电路如下: 模拟示波器显示如下: B1B0 B3B2 B1B0 B3B2

自上而下分别是G0、G1、G2、G3的波形。 数电实验二组合逻辑电路分析与设计实验报告

一、实验目的 1、掌握组合逻辑电路的分析方法,并验证其逻辑功能。 2、掌握组合逻辑电路的设计方法,并能用最少的逻辑门实现之。 3、熟悉示波器的使用。 二、实验仪器及器件 1、数字电路实验箱、数字万用表、示波器。 2、器件:74LS00X2,74LS86X1,74LS197X1。其中74LS00X2是四2输入与非 门,74LS86X1是四2输入异或门,74LS197X1是异步计数器,它的内部由一个8进制计数器和一个2进制计数器组成,它们可以独立工作,也可以串连组成一个16进制计数器。Cr和LD两个低电平有效的控制信号是两个计数器共用的,当Cr为低电平时,输出QA、QB、QC、QD清零,LD为低电平时,把来自输入端ABCD的电平送入QA、QB、QC、QD,因LD容易受到外来干扰,在使用时需常接高电平。74LS197的组成如下图所示。 三、实验内容与步骤 1、设计一个代码转换电路,输入为4位8421码,输出为4位循环码。此步已在 实验预习中完成。 2、将B3与B2、B2与B1、B1与B0分别接到74LS86输入端,输出为取异或, 并把B3及三位输出接到实验箱右上角的灯区。用逻辑开关模拟二进制代码输入,观察闪灯情况,看电路是否正常工作。 3、用集成异步下降沿触发的异步计数器74LS197构成十六进制计数器作为代码 转换电路的输入信号源。74LS197的CPA作为时钟输入,QA与CPB连接,则QD、QC、QB、QA就是十六进制计数器的输出。将QD、QC、QB、QA 接实验箱右上角的灯区,CPA接手动单步脉冲。十六进制计数器工作正常后,断开原来作为8421码输入的逻辑开关,将QD、QC、QB、QA连接到代码转换的输入端,作为8421码输入,检查电路是否正常工作。

实验2 组合逻辑电路功能分析

实验二组合逻辑电路功能分析与设计 一、实验目的: 1、了解组合逻辑电路的特点; 2、掌握组合逻辑电路功能的分析方法; 3、学会组合逻辑电路的连接方法; 4、掌握组合逻辑电路的设计方法。 二、实验原理: 1、组合逻辑电路的特点: 2、组合逻辑电路的分析方法: 3、组合逻辑电路的设计步骤: 三、实验器件 集成块:74LS00、74LS04、74LS08、74LS32 四、实验内容: (一)、组合逻辑电路功能分析 分析图4-1所示电路的逻辑功能: 根据4-1所示,Y1为输出,A、B为输入, 状态方程:Y1=((A’B’)’(AB)’)’ 若A、B相同为1,若A、B不同为0. 1图4-1 (二)、组合逻辑电路设计(根据组合逻辑电路的设计步骤,分别写出各个组合逻辑电路的设计步骤。) 1、设计一个举重裁判表决器。设举重比赛有三个裁判,一个主裁判和两个副裁判。杠铃完全举上的裁决由每一个裁判按一下自己面前的按钮来确定。只有当两个或两个以上裁判(其中必须有主裁判)判明成功时,表示“成功”的灯才亮。(要求用与非门实现) A、B 为副裁判,C为主裁判。Y为输出信号。 A B C Y 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 1 0 0 0 0

2、某设备有开关A 、B 、C ,要求仅在开关A 接通的条件下,开关B 才能接通;开关C 仅在开关B 接通的条件下才能接通。违反这一规程,则发出报警信号。设计一个由与非门组成的能实现这一功能的报警控制电路。(要求用与非门实现) 3、设计一个路灯控制电路,要求实现的功能是:当总电源开关闭和时,安装在三个不同地方的三(要求用异或门和与门实现) C B A Y ⊕⊕=

实验二 组合逻辑电路实验分析

实验二组合逻辑电路实验分析 一、实验目的 组合逻辑电路的分析方法与测试方法 二、实验设备与器件 数字电路实验箱, 74LS00×3 三、实验原理 1. 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路,可以用 一些常用的门电路来组合成具有其它功能的门电路。例如,根据与门的逻辑表达式 Z=A·B=B A·得知,可以用两个与非门组成一个与门。还可组合成更复杂的逻辑关系。 2.组合电路的分析是根据所给的逻辑电路,写出其输入与输出之间的逻辑函 数表达式或真值表,从而确定该电路的逻辑功能。根据逻辑电路建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。用标准器件构成逻辑电路,通过实验来验证其逻辑功能。 四、实验内容 1.分析、测试用与非门74LS00组成的半加器的逻辑功能 (1)写出图2-1的逻辑表达式 A z1= z2= z3= S= C= S= C= (4)根据图1-1,在实验箱选选定两个14P插座,插好两片74LS00,并接好连线,A、B两输入接至逻辑开关的输出插口。S、C分别接 至逻辑电平显示输入插口。按下表的要求进行逻辑状态的测试, 并将结果填入表中,同时与上面真值表进行比较,两者是否一致。

2. (1) 写出图2-2电路的逻辑表达式 A B C i-1 S i C i 图2-2 由与非门组成的全加器电路 S= X 1= X 2= X 3= S i = C i = (3) 根据真值表画出逻辑函数S i 、C i 的卡诺图 S i = C i = (4)按图2-2要求,选择与非门并接线,进行测试,将测试结果填入下

表,并与上面真值表进行比较逻辑功能是否一致。 A i B i C i-1 S i C i 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 0 1 1 1 0 1 1 1 1 a.画出用上述门电路实现的全加器逻辑电路。 b.按所画的原理图,选择器件,并在实验箱上接线。 c.进行逻辑功能测试,将测试结果填入自表格中,判断测试是否正确。附图:74LS00、74LS86引脚排列

组合逻辑电路分析与设计实验报告

组合逻辑电路分析与设计实验报告 一、实验目的: 1. 掌握逻辑设计基本方法 2. 能够自己设计简单逻辑电路,并能用VHDL描述 3. 理解输出波形和逻辑电路功能之间的关系 二、实验设备与器材: 1. 实验箱一套(含数字信号发生器、逻辑分析仪等测量设备) 2. 电缆若干 三、实验原理: 组合逻辑电路是指由与或非门等基本逻辑门或它们的数字组合所构成的电路。对于组合逻辑电路而言,不需要任何时钟信号控制,它的输出不仅能直接受到输入信号的影响,同时还与其输入信号的时序有关,输入信号的任何改变都可能导致输出信号的变化,因此组合逻辑电路的输出总是与它的输入存在着一个确定的逻辑关系。 本实验通过学习与实践,让学生从具体的组合逻辑电路出发,逐步掌握数字逻辑电路设计技术,了解逻辑电路的设计过程,掌握用组合逻辑门件构成数字系统的方法,提高学生设计和分析组合逻辑电路的能力。 四、实验内容及步骤: 本实验的基本内容是设计一个可以进行任意二进制数求和的组合逻辑电路,并用VHDL 语言描述该电路。其主要步骤如下: 1. 设计电路的逻辑功能,确定电路所需基本逻辑门电路元件的类型和数量。 2. 画出电路的逻辑图并进行逻辑延迟估算。 3. 利用VHDL语言描述电路功能,并利用仿真软件验证电路设计是否正确。 4. 利用实验箱中的数字信号发生器和逻辑分析仪验证电路设计是否正确。 五、实验结果与分析: 我们首先设计了一个可以进行单位位的二进制数求和的电路,即输入两个1位二进制数和一个进位信号,输出一个1位二进制数和一个进位信号。注意到,当输入的两个二进

制数为同等真值时,输出的结果即为原始输入中的异或结果。当输入的两个二进制数不同时,输出需要加上当前进行计算的进位,同时更新输出进位信号的取值。 我们继续将此电路扩展到多位数的情况。假设输入两个n位的二进制数a和b,我们需要得到一个(n+1)位的二进制数c,使得c=a+b。我们需要迭代地对每一位进行计算,并在 计算每一位时将其前一位的进位值也列入计算中。具体地,我们借助一个n位的进位信号,将每一位的求和结果同时传递给下一位的计算器中进行计算。 我们用VHDL描述出了这个逻辑电路,并用软件模拟进行了验证。结果表明,这个电路可以正确地求解两个任意位的二进制数求和问题,并且对于任意的进位信号输入,计算结 果均正确。 六、实验心得: 通过本次实验,我掌握了组合逻辑电路设计的基本方法,并且理解了输出波形和电路 功能之间的关系。在课程学习中,我们能够通过最小化逻辑门件数量的方式设计更加简洁 高效的电路,同时,我们也能够使用VHDL语言描述数字逻辑电路,并且用仿真软件验证电路的正确性。这样的技术,不但在数字电路课程中具有很高的应用价值,也将会在实际的 工程设计和实现中得到广泛的应用。

西北农林科技大学_实验二 组合逻辑电路分析与设计

实验二组合逻辑电路分析与设计 一、实验目的 1.掌握组合逻辑电路的分析方法与测试方法; 2.掌握组合逻辑电路的设计方法。 二、实验预习要求 1.熟悉门电路工作原理及相应的逻辑表达式; 2.熟悉数字集成电路的引脚位置及引脚用途; 3.预习组合逻辑电路的分析与设计步骤。 三、实验原理 通常,逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行: (1)由逻辑图写出输出端的逻辑表达式 (2)画出真值表; (3)根据对真值表进行分析,确定电路功能。 2.组合逻辑电路的一般设计过程为图实验2.1所示。 设计过程中,“最简”是指电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 图实验2.1 组合逻辑电路设计方框图

1.TPE -AD Ⅱ实验箱(+5V 电源,单脉冲源,连续脉冲源,逻辑电平开关,LED 显示,面包板数码管等)1台; 2. 四两输入集成与非门74LS00 2片; 3. 四两输入集成异或门74LS86 1片; 4. 两四输入集成与非门74LS20 3片。 五、实验内容及方法 1.分析、测试74LS00组成的半加器的逻辑功能。 (1)用74LS00组成半加器,如图实验2.2所示电路,写出逻辑表达式并化简,验证逻辑关系。 AB C B A B A S i i =+= (2)真值表 A B Si Ci 0 0 0 0 0 1 1 0 1 1 0 1 1 1 (3)分析、测试用异或门74LS86与74LS00组成的半加器的逻辑功能,自己画出电路,将测试结果填入自拟表格中,并验证逻辑关系。 A B Si Ci 0 0 0 0 0 1 1 0 1 1 0 1 1 1 A 和 B 为被加数和加数,Si 为和,Ci 为本位向高位的进位

实验二组合逻辑电路分析与设计

实验二组合逻辑电路分析与设计 一.实验目的 1.掌握小规模〔SSI〕组合逻辑电路的分析与设计方法。 2.熟悉常用中规模〔MSI〕组合逻辑部件的功能及其应用。 *3.观察组合电路的竞争-冒险现象,了解消除冒险现象的方法。二.实验设备与器件 双踪示波器:DS1062C 函数信号发生器:SG1651 数字实验箱:THD-4 数字万用表:MS8222D 实验器件: 74LS00、74LS02、74LS20、74LS54、74LS83、 74LS86、74LS138、74LS151 三.实验内容 (一) 组合逻辑电路的分析 1.分析图16-1所示“一位数值比拟器〞电路的逻辑功能,说明其逻辑关系与实际意义,并将验证测试结果填入表16-1。 表16-1 输入 A B 0 0 0 1 1 0 输出 F 1 F 2 F 3 1 1 *2.分析图16-2所示“四位二进制原码/反码转换〞电路的逻辑功能,按照表16-2选取其中一位作出分析,并记录测试结果。 表16-2 控制 输入 输出 K 0 1 A i 0 1 0 1 Y i 3.分析图16-3采用MSI芯片〔3-8译码器〕构成的组合逻辑电路,正确连接各引脚并供电,然后测试电路功能,结果填入表16-3。

表16-3 输入 A B C 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 注:当 、 m i 系 A 2 A 1 A 0 的最小项〔参见附录Ⅳ中 74LS138真值表〕。 *4.分析图16-4“8421BCD码-8421余3码转换电路〞的逻辑功能,将测试结果填入表16-4。注: 74LS83资料见附录Ⅳ。表16-4 输入 A 3 A 2 A 1 A 0 0 0 0 0 0 0 0 1 输出 S 3 S 2 S 1 S 0 输出 F 时,译码器输入输出逻辑关系为: 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 ,试用一片74LS54〔四组输入与或非门〕 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 (二) 组合逻辑电路的设计与测试 1.逻辑函数为: 设计其组合逻辑电路。并将测试结果列表记录。注:测试中,应考虑TTL门多余输入端的处理。 2.用最少的异或门〔74LS86〕设计一个“三位二进制码的奇校验器〞:当三位数码中出现奇数个“1〞时,输出为“1〞,否那么输出为“0〞。 *3.试用最少的异或门和与非门设计一个反映泵房水泵工作情况的控制电路,要求:三台水泵中假设有一台水泵出现故障时,黄灯亮;二台水泵故障时,红灯亮;并三台水泵同时发生故障的情况不出现。 4.设计一个电机启动逻辑控制器:A、B、C三个开关符合如下条件时电机启动:A与B闭合,或A与C闭合,或A、B、C同时闭合;否那么电机不启动。 阅读教材及附录中数据选择器有关内容,用 MSI芯片74LS151〔8选1数据选择器〕设计该控制器,并将验证测试结果列表记录。 注: 74LS151选通端时,输出。

组合逻辑电路的分析与设计实验报告

组合逻辑电路的分析与设计 实验报告 院系:电子与信息工程学院班级:电信13-2班 组员姓名: 一、实验目的 1、掌握组合逻辑电路的分析方法与测试方法。 2、掌握组合逻辑电路的设计方法。 二、实验原理 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只取决于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行:①由逻辑图写输出端的逻辑表达式;②写出真值表;③根据真值表进行分析,确定电路功能。 2.组合逻辑电路一般设计的过程为图一所示。 图一组合逻辑电路设计方框图 3.设计过程中,“最简”是指按设计要求,使电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 三、实验仪器设备 数字电子实验箱、电子万用表、74LS04、74LS20、74LS00、导线若干。 74LS00 74LS04 74LS20 四、实验内容及方法 1 、设计4线-2线优先编码器并测试其逻辑功能。 数字系统中许多数值或文字符号信息都是用二进制数来表示,多位二进制数的排列组合叫做代码,给代码赋以一定的含义叫做编码。 (1)4线-2 (2)由真值表可得4线-2线编码器最简逻辑表达式为 Y=((I0′I1′I2I3′)′(I0′I1′I2′I3)′)′ 1

0Y =((I 0′I 1I 2′I 3′)′( I 0′I 1′I 2′I 3)′)′ (3)由最简逻辑表达式可分析其逻辑电路图 4线-2线编码器逻辑图 (4)按照全加器电路图搭建编码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 0I =1 1Y 0Y =0 0 1I =1 1Y 0Y =0 1 2I =1 1Y 0Y =1 0 3I =1 1Y 0Y =1 1 2、设计2线-4线译码器并测试其逻辑功能。 译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的号.(即电路的某种状态),具有译码功能的逻辑电路称为译码器。 (1)2线-4线译码器真值表如表二所示 2线-4线译码器真值表 (2)由真值表可得2线-4线译码器最简逻辑表达式为 0Y =01A A E 1Y =01A A E 0Y =01A A E 0Y =01A A E (3)由最简逻辑表达 式可分析其逻辑电路图 2线-4线译码器逻辑图 (4)按照2线-4线译码器逻辑图搭建译码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 E =0 1A =0 0A =0 E =0 1A =0 0A =1 0Y 1Y 2Y 3Y =0 1 1 1 0Y 1Y 2Y 3Y =1 0 1 1 E =0 1A =1 0A =0 E =0 1A =1 0A =1 0Y 1Y 2Y 3Y =1 0 1 1 0Y 1Y 2Y 3Y =1 1 1 1 实验心得 本次试验,我们学习了2线-4线编码器和4线-2线译码器,使用74LS00、74LE04和74LS20三种芯片连接电路,使我们加深了对这三种芯片的了解。在实验过程中,我们学会了如何去了解一个芯片和使用,加强了我们的学习能力。实验过程中,我们遇到许多的困难,我们认真探索找到解决问题的方法,从遇到的问题中加深了我们对芯片与硬件电路的了解。学会了合作与独立思考,受益颇多。 指导老师:盛洁老师 日期:2015年4月25日 E =1 1A =ⅹ 0A =ⅹ 0Y 1Y 2Y 3Y =1 1 1 1

数电实验报告实验二组合逻辑电路的设计方案

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a)TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2)组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测实验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

数电实验二 组合逻辑电路

实验二 组合逻辑电路 一、实验目的 1.掌握组和逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及器件 1.仪器:数字电路学习机 2.器件:74LS00 二输入端四与非门 3片 74LS86 二输入端四异或门 1片 74LS54 四组输入与或非门 1片 三、实验内容 1.组合逻辑电路功能测试 (1).用2片74LS00按图2.1连线,为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 (2).图中A 、B 、C 接电平开关,Y1、Y2接发光管电平显示 (3).按表2.1要求,改变A 、B 、C 的状态,填表并写出Y1、Y2的逻辑表达式。 (4).将运算结果与实验比较。 Y1=A+B 2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y 是A 、B 的异或,而进位Z 是A 、B 相与,故半加器可用一个集成异或门和二个与非门组成,如图2.2。 (1).用异或门和与非门接成以上电路。输入A 、B 接电平开关,输出Y 、Z 接电平显示。 (2).按表2.2要求改变A 、B 状态,填表。 3.测试全加器的逻辑功能。 (1).写出图2.3电路的逻辑表达式。 (2).根据逻辑表达式列真值表。 (3).根据真值表画逻辑函数SiCi 的卡诺图。 1 1 1

S i C i 4.测试用异或门、与或门和非门组成的全加器的功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或非门和一个与非门实现。 (1).写出用异或门、与或非门和非门实现全加器的逻辑表达式,画出逻辑电路图。 (2).连接电路图,注意“与或非”门中不用的“与门”输入端要接地。 (3).按表2.4记录Si 和Ci 的状态。 1-⊕⊕=i i C B A S ,AB C B A C i i +⊕=-1)( A i S i B i + C i C i-1 四、 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。

实验二组合逻辑电路分析和设计

信息工程学院1 数字逻辑与数字系统实验/实习报告 学院:信息工程学院班级:信息111 姓名:朱伟定学号:2011013259 成绩: 实验二组合逻辑电路分析与设计 一、实验目的 1. 掌握组合逻辑电路的分析方法与测试方法; 2. 掌握组合逻辑电路的设计方法。 二、实验预习要求 1. 熟悉门电路工作原理及相应的逻辑表达式; 2. 熟悉数字集成电路的引脚位置及引脚用途; 3. 预习组合逻辑电路的分析与设计步骤。 三、实验原理 通常,逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1. 组合逻辑电路的分析过程,一般分为如下三步进行: (1)由逻辑图写出输出端的逻辑表达式; (2)画出真值表; (3)根据对真值表进行分析,确定电路功能。 2. 组合逻辑电路的一般设计过程为图实验2.1所示 设计过程中,“最简”是指电路所用器件最少,器件的种类最少,而且器件之间的 连线也最少。

图实验2.1组合逻辑电路设计方框图 四、实验仪器设备 1. TP1AD U实验箱(+5V电源,单脉冲源,连续脉冲源,逻辑电平开关,LED显示,面包板数码管等)1台; 2. 四两输入集成与非门74LS00 2片; 3. 四两输入集成异或门74LS86 1片; 4. 两四输入集成与非门74LS20 3片。 五、实验内容及方法 1. 分析、测试74LS00组成的半加器的逻辑功能。 (1) 用74LS00组成半加器,如图实验2.2所示电路,写出逻辑表达式并化简,验证逻辑关系。 S = A B AB G 二AB (2) 列出真值表。

相关主题
文本预览
相关文档 最新文档