当前位置:文档之家› 数字逻辑设计大作业报告(哈工大)

数字逻辑设计大作业报告(哈工大)

数字逻辑设计大作业报告(哈工大)
数字逻辑设计大作业报告(哈工大)

传热学数值计算大作业2014011673

数值计算大作业 一、用数值方法求解尺度为100mm×100mm 的二维矩形物体的稳态导热问题。物体的导热系数λ为1.0w/m·K。边界条件分别为: 1、上壁恒热流q=1000w/m2; 2、下壁温度t1=100℃; 3、右侧壁温度t2=0℃; 4、左侧壁与流体对流换热,流体温度tf=0℃,表面传热系数 h 分别为1w/m2·K、10 w/m2·K、100w/m2·K 和1000 w/m2·K; 要求: 1、写出问题的数学描述; 2、写出内部节点和边界节点的差分方程; 3、给出求解方法; 4、编写计算程序(自选程序语言); 5、画出4个工况下的温度分布图及左、右、下三个边界的热流密度分布图; 6、就一个工况下(自选)对不同网格数下的计算结果进行讨论; 7、就一个工况下(自选)分别采用高斯迭代、高斯——赛德尔迭代及松弛法(亚松弛和超松弛)求解的收敛性(cpu 时间,迭代次数)进行讨论; 8、对4个不同表面传热系数的计算结果进行分析和讨论。 9、自选一种商业软件(fluent 、ansys 等)对问题进行分析,并与自己编程计算结果进行比较验证(一个工况)。(自选项) 1、写出问题的数学描述 设H=0.1m 微分方程 22220t t x y ??+=?? x=0,0

y=H ,0

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

哈工大工程热力学习题

第3章 热力学第一定律 本章基本要求 深刻理解热量、储存能、功的概念,深刻理解内能、焓的物理意义 理解膨胀(压缩)功、轴功、技术功、流动功的联系与区别 本章重点 熟练应用热力学第一定律解决具体问题 热力学第一定律的实质: 能量守恒与转换定律在热力学中的应用 收入-支出=系统储能的变化 = +sur sys E E 常数 对孤立系统:0=?isol E 或 0=?+?sur sys E E 第一类永动机:不消耗任何能量而能连续不断作功的循环发动机。 3.1系统的储存能 系统的储存能的构成:内部储存能+外部储存能 一.内能 热力系处于宏观静止状态时系统内所有微观粒子所具有的能量之和,单位质量工质所具有的内能,称为比内能,简称内能。U=mu 内能=分子动能+分子位能 分子动能包括: 1.分子的移动动能 2。分子的转动动能. 3.分子内部原子振动动能和位能 分子位能:克服分子间的作用力所形成 u=f (T,V) 或u=f (T,P) u=f (P,V)

注意: 内能是状态参数. 特别的: 对理想气体u=f (T) 问题思考: 为什么? 外储存能:系统工质与外力场的相互作用(如重力位能)及以外界为参考坐标的系统宏观运动所具有的能量(宏观动能)。 宏观动能:2 2 1mc E k = 重力位能:mgz E p = 式中 g —重力加速度。 系统总储存能:p k E E U E ++= 或mgz mc U E ++ =2 2 1 gz c u e ++ =2 21 3.2 系统与外界传递的能量 与外界热源,功源,质源之间进行的能量传递 一、热量 在温差作用下,系统与外界通过界面传递的能量。 规定: 系统吸热热量为正,系统放热热量为负。 单位:kJ kcal l kcal=4.1868kJ 特点: 热量是传递过程中能量的一种形式,热量与热力过程有关,或与过程的路径有关. 二、功 除温差以外的其它不平衡势差所引起的系统与外界传递的能量. 1.膨胀功W :在力差作用下,通过系统容积变化与外界传递的能量。 单位:l J=l Nm 规定: 系统对外作功为正,外界对系统作功为负。

传热学大作业报告 二维稳态导热

传热学大作业报告二维稳态计算 院系:能源与环境学院 专业:核工程与核技术 姓名:杨予琪 学号:03311507

一、原始题目及要求 计算要求: 1. 写出各未知温度节点的代数方程 2. 分别给出G-S 迭代和Jacobi 迭代程序 3. 程序中给出两种自动判定收敛的方法 4. 考察三种不同初值时的收敛快慢 5. 上下边界的热流量(λ=1W/(m ℃)) 6. 绘出最终结果的等值线 报告要求: 1. 原始题目及要求 2. 各节点的离散化的代数方程 3. 源程序 4. 不同初值时的收敛快慢 5. 上下边界的热流量(λ=1W/(m ℃)) 6. 计算结果的等温线图 7. 计算小结 二、各节点的离散化的代数方程 左上角节点 )(21 1,22,11,1t t t +=

右上角节点 )(2 15,24,15,1t t t += 左下角节点 C t ?=1001,5 右下角节点 )2(211,24,55,5λ λ x h t t x h t ?++?+= 左边界节点 C t i ?=1001,,42≤≤i 上边界节点 C t j ?=200,1,42≤≤j 右边界节点 )2(415,15,14,5,+-++= i i i i t t t t ,42≤≤i 下边界节点 )42()2(211,51,5,4,5∞+-?+++?+=t x h t t t x h t j j j j λλ ,42≤≤j 内部节点 )(2 1,1,11,1,,j i j i j i j i j i t t t t t +-+-+++= ,4,2≤≤j i 三、源程序 1、G-S 迭代法 t=zeros(5,5); t0=zeros(5,5); dteps=0.0001; for i=2:5 %左边界节点 t(i,1)=100; end for j=2:4 %上边界节点 t(1,j)=200; end t(1,1)=(t(1,2)+t(2,1))/2; t for k=1:100 for i=2:4 %内部节点 for j=2:4 t(i,j)=(t(i-1,j)+t(i+1,j)+t(i,j-1)+t(i,j+1))/4; end end t(1,5)=(t(1,4)+t(2,5))/2;%右上角节点 for i=2:4;%右边界节点 t(i,5)=(2*t(i,4)+t(i-1,5)+t(i+1,5))/4; end for j=2:4; %下边界节点

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

哈工大工程热力学习题

一.是非题 (10分) 1.系统的平衡状态是指系统在无外界影响的条件下,不考虑外力场作用,宏观热力性质 不随时间而变化的状态。( ) 2.不管过程是否可逆,开口绝热稳流系统的技术功总是等于初、终态的焓差。 ( ) 3.工质经历一可逆循环,其∮d s =0,而工质经历一不可逆循环,其∮d s >0。( ) 4.理想气体在绝热容器中作自由膨胀,则气体温度与压力的表达式为 k k p p T T 1 1212-???? ??= ( ) 5.对一渐放型喷管,当进口流速为超音速时,可做扩压管使用。 ( ) 6.对于过热水蒸气,干度1>x ( ) 7.在研究热力系统能量平衡时,存在下列关系式:sur sys E E += 恒量, △S s y s +△S s u r = 恒量。( ) 8.对于渐缩喷管,若气流的初参数一定,那么随着背压的降低,流量将增大,但最多 增大到临界流量。( ) 9.膨胀功、流动功和技术功都是与过程路径有关的过程量 ( ) 10.在管道内定熵流动过程中,各点的滞止参数都相同。( ) 二.选择题 (10分) 1.湿蒸汽经定温膨胀过程后其内能变化_________ (A )△U = 0 (B )△U >0 (C )△U <0 (D )△U <0或△U >0 2.压气机压缩气体所耗理论轴功为_________ (A ) pdv 12? (B )d pv ()12? (C )pdu 1 2 ?+p 1v 1-p 2v 2 3.多级(共Z 级)压气机压力比的分配原则应是_________ (A )βi = (P Z+1 +P 1)/ Z (B )βi = (P Z+1 / P 1)1 / Z (C )βi = P Z+1/P 1 (D )βi =(P Z+1 / P 1)/ Z 4. 工质熵减少的过程_________ (A ) 不能进行 (B ) 可以进行 (C ) 必须伴随自发过程才能进行

西安交通大学传热学大课后复习

《传热学》上机大作业 二维导热物体温度场的数值模拟 学校:西安交通大学 姓名:张晓璐 学号:10031133 班级:能动A06

一.问题(4-23) 有一个用砖砌成的长方形截面的冷空气通道,形状和截面尺寸如下图所示,假设在垂直纸面方向冷空气和砖墙的温度变化很小,差别可以近似的予以忽略。在下列两种情况下计算:砖墙横截面上的温度分布;垂直于纸面方向上的每米长度上通过墙砖上的导热量。 第一种情况:内外壁分别维持在10C ?和30C ? 第二种情况:内外壁与流体发生对流传热,且有C t f ?=101, )/(2021k m W h ?=,C t f ?=302,)/(422k m W h ?=,K m W ?=/53.0λ

二.问题分析 1.控制方程 0222 2=??+??y t x t 2.边界条件 所研究物体关于横轴和纵轴对称,所以只研究四分之一即可,如下图:

对上图所示各边界: 边界1:由对称性可知:此边界绝热,0=w q 。 边界2:情况一:第一类边界条件 C t w ?=10 情况二:第三类边界条件 )()( 11f w w w t t h n t q -=??-=λ 边界3:情况一:第一类边界条件 C t w ?=30 情况二:第三类边界条件 )()( 22f w w w t t h n t q -=??-=λ 三:区域离散化及公式推导 如下图所示,用一系列和坐标抽平行的相互间隔cm 10的网格线将所示区域离散化,每个交点可以看做节点,该节点的温

度近似看做节点所在区域的平均温度。利用热平衡法列出各个节点温度的代数方程。 第一种情况: 内部角点: 11 ~8,15~611 ~2,5~2) (4 1 1,1,,1,1,====++++=+-+-n m n m t t t t t n m n m n m n m n m 平直边界1: 11~8),2(4 1 5~2),2(4 1 1,161,16,15,161,11,12,1,=++==++=+-+-n t t t t m t t t t n n n n m m m m 平直边界2:

2011数字逻辑设计大作业题目

数字逻辑设计大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,不能超过3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值均为三分钟,采用倒计时方式。通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时。同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时。 (2)超时能发出报警判负。 (3)累计时间设置可以改变。 注:附加功能根据本人能力自行添加 题目4:出租车计费器的设计 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。 [设计要求] (1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。 (2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(参考)

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

哈工大工程热力学习题答案——杨玉顺版

第二章 热力学第一定律 思 考 题 1. 热量和热力学能有什么区别?有什么联系? 答:热量和热力学能是有明显区别的两个概念:热量指的是热力系通过界面与外界进行的热能交换量,是与热力过程有关的过程量。热力系经历不同的过程与外界交换的热量是不同的;而热力学能指的是热力系内部大量微观粒子本身所具有的能量的总合,是与热力过程无关而与热力系所处的热力状态有关的状态量。简言之,热量是热能的传输量,热力学能是能量?的储存量。二者的联系可由热力学第一定律表达式 d d q u p v δ=+ 看出;热量的传输除了可能引起做功或者消耗功外还会引起热力学能的变化。 2. 如果将能量方程写为 或 d d q h v p δ=- 那么它们的适用范围如何? 答:二式均适用于任意工质组成的闭口系所进行的无摩擦的内部平衡过程。因为 u h pv =-,()du d h pv dh pdv vdp =-=-- 对闭口系将 du 代入第一式得 q dh pdv vdp pdv δ=--+ 即 q dh vdp δ=-。 3. 能量方程 δq u p v =+d d (变大) 与焓的微分式 ()d d d h u pv =+(变大) 很相像,为什么热量 q 不是状态参数,而焓 h 是状态参数? 答:尽管能量方程 q du pdv δ=+ 与焓的微分式 ()d d d h u pv =+(变大)似乎相象,但两者的数学本质不同,前者不是全微分的形式,而后者是全微分的形式。是否状态参数的数学检验就是,看该参数的循环积分是否为零。对焓的微分式来说,其循环积分:()dh du d pv =+??? 因为 0du =?,()0d pv =? 所以 0dh =?, 因此焓是状态参数。 而对于能量方程来说,其循环积分: 虽然: 0du =? 但是: 0pdv ≠? 所以: 0q δ≠? 因此热量q 不是状态参数。 4. 用隔板将绝热刚性容器分成A 、B 两部分(图2-13),A 部分装有1 kg 气体,B 部分为高度真空。将隔板抽去后,气体热力学能是否会发生变化?能不能用 d d q u p v δ=+ 来分析这一过程?

传热学报告

《传热学》三级项目报告书 钢生锈表面黑度测量 姓名: 课程名称:传热学 指导教师:金昕 2013年6月 固体表面黑度的测定 摘要: 通过课上老师讲解,我们了解到实际物体的辐射力与同温度下黑体的辐射力之比称为实际物体的黑度。为了测量固体表面黑度,首先设计一个已知外表面积的工件,将其放入不存在吸收热辐射介质的空腔内(实验中为真空中的系统),测出换热量,通

过调节试件外表面的温度,研究试件表面黑度随温度的变化,再通过对实验数据的分析整理,计算出黑度,通过图像处理,得到固体表面黑度随温度的变化规律,进一步加深了对黑度的认识。 前言: 本项目目的在于熟悉物体表面黑度的测试原理;熟练应用三维设计软件对实验试件的设计;分析影响物体表面黑度的因素;了解实验原理,并对实验设备进行拆装,学会使用各种测试仪表进行测试;提高学生的动手能力、理论联系实际能力和团队的协作能力;得到查阅文献、阅读相关技术资料和调查研究能力的训炼;通过研究报告的撰写使学生在科技文献写作方面获得训练。 本项目主要内容是测定试件表面黑度以及分析黑度随温度变化关系。黑度是辐射换热的重要特性,黑度取决于物体的性质,物体的温度,表面状态,波长,方向,通过本实验的学习黑度概念和黑度测量,自己动手测绘试件、测量数据、分析结果,增强了动手实验能力,培养灵活运用知识的能力和创新思维。 本实验采用真空辐射法测定固体黑度的实验方法,根据公式及日常经验预测试件表面黑度随温度升高呈下降趋势。 实验目的 1、巩固辐射换热理论. 2、掌握用真空辐射法测定固体表面黑度的试验方法. 3、分析固体表面黑度随温度的变化规律. 实验设备介绍及实验原理分析: 通过查阅相关资料,我们了解到研究黑度的方法主要有:辐射法、量热计法和正规热工况法。辐射法是建立在以被测物体的辐射和绝对黑体或其他辐射系数已知的辐射系数为已知的物体辐射,相比较的基础之上的。本实验采用辐射法。 实验原理 当一物体放在另一物体的空腔内,且空腔内不存在吸收热辐射的介质时(如空气),彼此以辐射换热方式进行热交换,其辐射换热量为:

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

(完整版)哈工大工程热力学习题答案——杨玉顺版

第二章 热力学第一定律 思 考 题 1. 热量和热力学能有什么区别?有什么联系? 答:热量和热力学能是有明显区别的两个概念:热量指的是热力系通过界面与外界进行的热能交换量,是与热力过程有关的过程量。热力系经历不同的过程与外界交换的热量是不同的;而热力学能指的是热力系内部大量微观粒子本身所具有的能量的总合,是与热力过程无关而与热力系所处的热力状态有关的状态量。简言之,热量是热能的传输量,热力学能是能量?的储存量。二者的联系可由热力学第一定律表达式 d d q u p v δ=+ 看出;热量的传输除了可能引起做功或者消耗功外还会引起热力学能的变化。 2. 如果将能量方程写为 d d q u p v δ=+ 或 d d q h v p δ=- 那么它们的适用范围如何? 答:二式均适用于任意工质组成的闭口系所进行的无摩擦的内部平衡过程。因为 u h pv =-,()du d h pv dh pdv vdp =-=-- 对闭口系将 du 代入第一式得 q dh pdv vdp pdv δ=--+ 即 q dh vdp δ=-。 3. 能量方程 δq u p v =+d d (变大) 与焓的微分式 ()d d d h u pv =+(变大) 很相像,为什么热量 q 不是状态参数,而焓 h 是状态参数? 答:尽管能量方程 q du pdv δ=+ 与焓的微分式 ()d d d h u pv =+(变大)似乎相象,但两者 的数学本质不同,前者不是全微分的形式,而后者是全微分的形式。是否状态参数的数学检验就是,看该参数的循环积分是否为零。对焓的微分式来说,其循环积分:()dh du d pv =+???蜒? 因为 0du =??,()0d pv =?? 所以 0dh =??, 因此焓是状态参数。 而对于能量方程来说,其循环积分: q du pdv δ=+???蜒?

西安交通大学传热学大作业---二维温度场热电比拟实验

二维导热物体温度场的数值模拟

一、物理问题 有一个用砖砌成的长方形截面的冷空气通道,其截面尺寸如下图1-1所示,假设在垂直于纸面方向上用冷空气及砖墙的温度变化很小,可以近似地予以忽略。在下列两种情况下试计算: 砖墙横截面上的温度分布;垂直于纸面方向的每米长度上通过砖墙的导热量。 第一种情况:内外壁分别均匀维持在0℃及30℃; 第二种情况:内外壁均为第三类边界条件,且已知: K m K m W h C t K m W h C t ?=?=?=?=?=∞∞/35.0/93.3,10/35.10,302 22211λ砖墙导热系数 二、数学描写 由对称的界面必是绝热面,可取左上方的四分之一墙角为研究对象,该问题为二维、稳态、无内热源的导热问题。 控制方程: 02 222=??+??y t x t 边界条件: 第一种情况: 由对称性知边界1绝热: 0=w q ; 边界2为等温边界,满足第一类边界条件: C t w ?=0; 边界3为等温边界,满足第一类边界条件: C t w ?=30。 第一种情况: 由对称性知边界1绝热: 0=w q ; 边界2为对流边界,满足第三类边界条件: )()( 2f w w w t t h n t q -=??-=λ; 边界3为对流边界,满足第三类边界条件: )()(2f w w w t t h n t q -=??-=λ。 1 -1图2 -1图

三、方程离散 用一系列与坐标轴平行的间隔0.1m 的二维网格线将温度区域划分为若干子区域,如图1-3所示。 采用热平衡法,利用傅里叶导热定律和能量守恒定律,按照以导入元体(m,n )方向的热流量为正,列写每个节点代表的元体的代数方程, 第一种情况: 边界点: 边界1(绝热边界): 5~2)2(4 1 1,11,12,1,m =++= +-m t t t t m m m , 11~8)2(4 1 1,161,16,15,16=++=+-n t t t t n n n n , 边界2(等温内边界): 7,16~7;7~1,6,0,=====n m n m t n m 边界3(等温外边界): 12,16~2;12~1,1,30,=====n m n m t n m 内节点: 11 ~8,15~6;11~2,5~2)(41 1,1,,1,1,====+++= -+-+n m n m t t t t t n m n m n m n m n m 第二种情况 边界点: 边界1(绝热边界): 5~2)2(4 1 1,11,12,1 ,m =++=+-m t t t t m m m , 11~8)2(4 1 1,161,16,15,16=++=+-n t t t t n n n n , 边界2(内对流边界): 6~1) 2(2221 11,61,6,5,6=++++= ??-+n Bi t Bi t t t t n n n n , 3 -1图

数字逻辑第一次大作业

数字逻辑第一次大作业

一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭) 输入变量输出变量数码管显 示 A B C D a b c d e f g 0000 1111110 0 000 1 0110000 1 0010 110110 1 2 001 1 111100 1 3 0100 011001 1 4 010 1 101101 1 5 0110 101111 1 6 011 1 1110000 7 1000 111111 1 8 100 1 111101 1 9 1010 111011 1 A 101 1 001111 1 B 1100 1001110 C 110 1 011110 1 D 1110 100111 1 E 111 1 100011 1 F 二.卡诺图化简: A B C D a 0000 1 000 1 0 0010 1 001 1 1 0100 0 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 1 110 1 0 1110 1 AB CD 00 01 11 10 00 1 0 1 1 01 0 1 0 1 11 1 1 1 0 10 1 1 1 1 Fa=B?D?+A?BD+A B?C?+A?C+BC+A D?

111 1 1 A B C D b 0000 1 000 1 1 0010 1 001 1 1 0100 1 010 1 0 0110 0 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 0 110 1 1 1110 0 111 1 0 A B C D c 0000 1 000 1 1 0010 0 001 1 1 0100 1 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 0 111 1 0 AB CD 00 01 11 10 00 1 1 0 1 01 1 0 1 1 11 1 1 0 0 10 1 0 0 1 Fb=B?D?+B?C?+A?C?D?+A?CD+A C?D AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 1 11 1 1 0 1 10 0 1 0 1 Fc=A?C?+A?D+A?B+A B?+C?D

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

相关主题
文本预览
相关文档 最新文档